数字电路设计方案范文
时间:2023-10-19 17:18:17
导语:如何才能写好一篇数字电路设计方案,这就需要搜集整理更多的资料和文献,欢迎阅读由公务员之家整理的十篇范文,供你借鉴。
篇1
一、人才培养方案设计思路
人才培养方案的设计和制订是整个人才培养过程的基础和保障,只有建立了科学合理的人才培养方案才能确保各种培养措施的正确实施,培养目标的真正实现。人才培养方案就要确定“培养什么样的人”和“如何培养”两个问题,主要包括培养目标、培养规格、课程体系和课程配置等要素。根据高职教育的目标和特点,我们按照以下思路进行人才培养方案的设计:
1.围绕企业需求和职业面向确定培养目标。高职院校服务地方经济建设和社会发展,应通过广泛、深入的市场调查和企业调研,了解用人单位的需求,根据专业的职业面向和就业岗位群确定专业培养目标,使高职人才培养具有明显的职业方向性和岗位针对性。同时在确定专业培养目标时还要考虑岗位适应性,既要确定直接就业目标还要确定岗位发展目标,体现高职教育的基本岗位操作能力与可持续发展能力的统一。
2.围绕职业能力要求确定能力体系。在明确了就业岗位和专业培养目标后,要进行职业岗位分析,通过对各岗位的具体工作范围、工作任务和工作过程的分析,总结典型工作任务,明确职业岗位群的职业能力和素质要求,形成定位准确、内容具体、具有可操作性的职业能力体系。
3.围绕知识、能力、素质目标设计课程体系,进行课程配置。在能力体系的基础上,进行能力分解,明确完成工作任务所需的知识点、能力点和职业素质,以培养技术应用能力和基本素质为主线,以实现知识、能力、素质目标为出发点,建立相应的课程体系,并进行课程配置。
二、应用电子技术专业人才培养方案设计
1.职业岗位与职业能力分析,确定培养目标。我们通过实地考察、问卷调查、电话访谈、网上调研等多种方式,针对专业的就业需求、职业面向、就业岗位任职条件等问题进行调研,明确专业所面向的职业岗位群及职业技术能力与素质要求,确定专业培养目标和能力体系。
根据以上的分析,最终确定本专业的培养目标定位。应用电子技术专业毕业生可在装备制造业、电子装备制造业配套的电子企业及电子产品制造类企业中的电子产品生产、检修和研发领域,从事电子产品装配、检测、调试、工艺管理、产品维修、产品辅助设计等工作,可从事的工作岗位有生产线装配工、产品在线质检员、生产线工艺员、电子产品维修员、PCB板设计助理工程师、电路设计助理工程师等。简而言之,即培养具有良好的职业道德意识、精湛的专业技能和可持续发展的学习与适应能力的德、智、体、美等方面全面发展的高素质技能型专门人才。
2.能力分解,设计课程体系。实际工作中应用电子技术专业所面向的职业岗位对本专业的高职学生有一定的层次要求。一般高职学生在企业的首岗主要是生产线操作工,经过1〜3年后约50%的人员会转岗到管理岗位,如设备维护、售后服务、运行管理、质量检测与监督、工艺管理、线段负责人等,在小型电子企业还有10%左右的人员会转岗从事电子产品开发与设计工作。
因此,我们确定本专业的首岗为生产一线装配工。目标岗位—是生产线工艺员、质检员、现场管理者、产品售后服务人员,能从事相应技术应用与服务工作;二是中小型电子企业的产品设计、开发人员,能自主完成一般小型电子产品、协助完成中型电子产品设计、开发和技术推广,经过3〜5年的工作实践后,可以成为企业的核心技术人员。我们对能力体系进行了层次上和内容上的分解,确定了知识、能力和素质目标。
在此基础上,我们以能力培养为主线,构建以职业素质课程和专业基础能力课程为基础,专业核心能力课程为重点,提高专业拓展能力的理论学习与实践训练相结合的课程体系。实行三阶段能力递进式人才培养模式,在课程设置上注重理论与实践课程的融合,在人才培养的初期、中期和后期设置了电工技能实训、电子产品制作实训、电子电路设计实训、电子产品维修实训课程,分别侧重培养学生的电子产品装配、设计和维修等专业核心能力。在实践课程中采用任务驱动教学法,以真实电子产品为载体,让学生在学习过程中完成电子产品的设计、装配、调试维修全过程,使教学过程与学生的工作过程相结合,通过选取的产品载体逐级增加难度和复杂程度,实现学生能力的递进培养。
三、关于人才培养方案设计的思考
1.注重课程的优化和整合。高职教育的职业性、针对性和实用性要求课程设置瞄准职业岗位群的实际需要,当职业岗位群的职业技能要求由于经济和科技发展变化而发生变化时,专业课程和教学内容也要随之调整,这就要求我们要以职业岗位要求的素质、知识、能力为构架,对原学科体系课程进行改造、整合和优化,不断调整、充实新的教学内容。
篇2
关键词:设计性实验教学;课题设计;课题评估
中图分类号:G652 文献标志码:A 文章编号:1674-9324(2012)09-0008-03
《数字电路实验》是我校电子类专业的一门专业必修课。是继《数字电路》理论课程后单独的一门实验课程。《数字电路实验》是理论教学的补充和延续,通过实验教学可以巩固理论知识,培养实践能力。同时数字电路实验也是《微机原理》、《微机接口技术》等的前端课程,有着很重要的地位。数字逻辑电路技术领域的知识包含理论和实践,这一特点决定了数字电路实验教学必须重视实践能力的培养。如何在数字电路实验教学中注重培养学生的实践能力和创新意识,是实验教学中的重要课题。我们尝试把数字电路实验分成三部分进行:基础实验;单元电路设计实验;综合性设计实验。第一步分的基础实验是和理论课程紧密的结合,实验的设置主要是一些入门级的基本概念,进度跟随理论课程同步进行。第二、第三部分是在第二学期进行。第二部分的单元电路设计是为了进一步加深对数字电路的重要概念的理解和运用。从本质上讲应该是属于验证性的实验。第三部分是数字电路综合设计,在综合设计的题目中,适当的涉及一些传感器,模拟电路等其他课程中的知识,目的是为了提高学生的设计能力。
一、传统实验教学中存在的问题
1.实验内容设置。在传统的重视理论教学,轻视实验教学的影响下,每一次的实验内容仅仅局限于验证理论课上的结论。对于通过实验加深理解理论知识的应用方面有所欠缺。传统的数字电路实验内容中,很少有涉及到模拟电路、传感器等方面的内容的综合性实验。传统验证性不利于培养现时代所需要的有理论知识、有动手能力、有创新意识的人才。
2.实验设备。传统的数字电路实验设备实验教学的手段相对落后,实验内容和实验方式也就受到了限制。实验一般是在面包板或者是类似于面包板的实验箱上进行。学生只要对照书本连线即可完成实验。造成了部分学生在不懂实验原理的情况下,依样画葫芦也同样完成了实验。部分学生在做完实验后还是知其然,不知其所以然,完全处于被动地位。没有起到实验教学应该起到的作用。
3.实验报告和实验评分。合理的成绩评定方法是客观评价教学质量的一个指标。传统的实验考核成绩主要由实验报告和期末考试决定。但由于传统的实验内容和实验方式的限制,依靠实验报告和期末考试的评分方式无法全面评价学生是否掌握了实验原理、技巧、以及实验过程中的表现,有失公正、全面的评分原则。
二、设计性实验教学必要性
验证性实验是加深对理论知识的理解,仅仅是验证性的基础实验不利于提高学生的综合素质,不能适应后继课程和当前的经济时代对人才培养的迫切需求。设计性实验则要求学生在掌握牢固的基础知识后,运用一种或多种方法完成教师给定的实验。教育部在《普通高等学校本科教学工作水平评估方案》明确指出:“设计性实验是指给定实验目的要求和实验条件由学生自行设计实验方案并加以完成的实验”。设计性实验在实施的过程中,很明显的产生了以下几个特点:
1.发挥了学生实验积极性。在数字电路的设计实验中,实验教师只是给定了实验的课题,考虑到学生个体的差异,教师根据课题的实际情况,对部分设计性实验课题给出了原理性框图。设计实验并不规定实现课题的方法和手段,学生可以在教师的指导下,自主选择实现实验器材、方法和手段。学生必须自己查阅资料,规划实验过程和方案,设计实验电路,主动询问教师。学生在实验的过程中处于主动的学习状态。例如课题:晶体管图示仪用的三角波锯齿波发生器设计。该题可以用常规的模拟电路实现,也可以用数字器件加上部分模拟器件设计出性能更稳定的电路。学生在接受设计课题后,首先是要查找资料,了解图示仪工作原理,查找三角波锯齿波发生器各种设计方法。充分调动了学生实验的积极性。
2.在实验方法上的多样性。设计性实验的课题是明确的,但是并不规定实现课题的方法。不同的学生有不同的思维方式。当多个实验小组在选择了同一个实验课题时,各个实验小组会有不同的实验方法。在设计性实验的过程中,充分的发挥了人的创造性思维,也就是最大限度地调动了积极性。例如:在实现图示仪中用的锯齿波三角波发生器电路设计时,可以采用555电路作为CLK信号,对数字电路的计数器进行计数,通过运放产生锯齿波和三角波的设计方案。但是也有同学用555电路作为振荡器,使用三极管对555波形输出进行积分,从而直接产生三角波锯齿波的实验方案。
篇3
数字集成电路低功耗优化设计
随着科技的不断发展和进步,在集成电路领域当中,数字集成电路的增长速度飞快,在各种新技术的应用之下,集成电路系统的集成度和复杂度也有了很大的提升。对着移动设备、便携设备的广泛应用,使得数字集成电路面临着越来越严峻的功耗问题。因此,在数字集成电路的未来发展当中,低功耗优化设计已经成为一个主要的发展趋势,在数字集成电路的工艺制造、电路设计等方面,都发挥着巨大的作用。
一、低功耗优化设计的方法和技术
对于可移动、便携式的数字系统来说,功耗具有很大的作用。因此在设计数字电路的时候,应当分析其功耗问题。在设计数字集成电路的过程中,要对功耗、面积、性能等加以考虑。而在这些方面,存在着相互关联和约束的关系。因此,在对数字电路性能加以满足的前提下,对设计方案和技术进行选择,从而实现低功耗优化设计。具体来说,应当平衡性能、面积、功耗方面的关系,防止发生浪费的情况。对专用集成电路进行高效应用,对结构和算法进行优化,同时对工艺和器件进行改进。
二、数字集成电路的低功耗优化设计
1、门级
在数字集成电路的低功耗优化设计中,门级低功耗优化设计技术具有较为重要的作用,其中包含着很多不同的技术,例如路径平衡、时许调整、管脚置换、们尺寸优化、公因子提取、单元映射等。其中,单元映射是在设计电路中,在逻辑单元、门级网表之间,进行合理的布局布线。公因子提取法能够对逻辑深度进行降低、对电路翻转进行减小、对逻辑网络进行简化从而降低功耗。路径平衡则是针对不同路径的延迟时间,对其进行改变,从而降低功耗。
2、系统级
系统级低功耗优化设计当中,主要包括了软硬件划分、功耗管理、指令优化等技术。其中,软硬件划分主要是对硬件和软件在抽象描述的监督,对其电路逻辑功能加以实现,通过对方案的综合对比,选择低功耗优化设计方案。功耗管理是针对电路设计不同的工作模式,将空闲模块挂起,从而降低功耗。而指令优化则包含指令压缩、指令编码优化、指令集提取等,通过对读取速度、密度的提升,使功耗得到降低。
3、版图级
在版图级低功耗优化设计中,需要对互联、器件等同时进行优化,对着集成电路工艺的发展,器件尺寸的减小,功耗也就自然降低。同时由于具有更快的开关速度,因此可以根基不同情况,在电路设计中选择合适的器件进行优化。而对于系统来说,互联作为连接器件的导线,对于系统性能也有着很大的影响。在信号布线的过程中,可以增加关键、时钟、地、电源等信号以及高活动性信号的横截面,从而降低功耗和延时。
4、算法级
在算法级低功耗优化设计当中,需要对速度、面积、功耗等约束条件加以考虑,从而对电路体系编码、结构等进行优化。在通常情况下,为了提升电路质量、降低电路功耗,会采用提高速度、增加面积等方法来实现。算法级低功耗优化设计与门级、寄存器传输级不同,这两者都是对电路的基本结构首先进行确定,然后对电路结构再进行低功耗优化调整。在算法级低功耗优化设计当中,主要包括并行结构、流水线、总线编码、预计算等技术。
5、电路级
在电路级低功耗优化设计中,NMOS管阵列构成的PDN完成了逻辑功能,其中只需要少量额晶体管,具有较快的开关速度,同时由于具有较低的负载电容,不存在短路电流。在电源与第之间,没有电流通路,因此不会产生静态功耗,对于总体功耗的降低有着很大的帮助。同时,在应用的异步电路当中,在稳定状态时,输入信号才会翻转,从而避免了输入信号之间的竞争冒险,也避免了功耗浪费。
6、工艺级
在工艺级低功耗优化设计中,主要包括按比例缩小、封装等技术。随着技术的发展,系统拥有了更高的集成度,器件尺寸得以减小、电容得以降低,在芯片之间,通信量也有所下降,因此功耗也能够得到有效的控制。其中主要包括了互连线、晶体管的按比例缩小。芯片应当进行封装,充分与外界相隔离,从而避免外界杂质造成腐蚀,降低其电气性能。而在封装过程中,对于芯片功耗有着很大的影响。通过合理的进行封装,能够更好的进行散热,从而是功耗得到降低。
7、寄存器传输级
在设计数字集成电路的过程中,寄存器传输级是一种同步数字电路的抽象模型,根据存储器、寄存器、总线、组合逻辑装置等逻辑单元之间数字信号的流动所建立的。在当前的数字设计中,工作流程是寄存器传输级上的主要设计,根据寄存器传输级的描述,逻辑综合工具对低级别的电路描述进行构建。在寄存器传输级的低功耗优化设计当中,主要包括了门控时钟、存储器分块访问、操作数隔离、操作数变形、寄存器传输级代码优化等方法。
随着科技的不断发展,在当前社会中,越来越多的移动设备和便携设备出现在人们的生活中,因此,数字集成电路也正在得到更加广泛的应用。而在电路设计当中,功耗问题始终是一个较为重点的问题,因此,应当对数字集成电路进行低功耗优化设计,从而降低电路功耗,提升电路效率。
参考文献:
[1]桑红石,张志,袁雅婧,陈鹏.数字集成电路物理设计阶段的低功耗技术.微电子学与计算机,2011(04).
[2]邓芳明,何怡刚,张朝龙,冯伟,吴可汗.低功耗全数字电容式传感器接口电路设计.仪器仪表学报,2014(05).
篇4
关键词:FPGA;按键抖动;数字电路
中图分类号:TN912 文献标识码:A 文章编号:1009-2374(2013)21-0031-02
我们在进行数字电路的设计的过程中,经常会碰到信号抖动相关的问题。抖动信号大致分为两类:一类是时间极短的干扰信号(比如毛刺);另一类是时间较长的干扰信号(如本文所研究的按键抖动问题)。对于第一类干扰信号——毛刺,它的时间极短,通常只有几纳秒,不能满足触发器的建立时间与保持时间,因此我们可以用一个D触发器去读带毛刺的信号,由于D触发器具有对毛刺不敏感的特点,可以去除信号中的毛刺,达到消除抖动的效果(消除毛刺的方法很多,在这里不做讨论,本文重点说明按键抖动的消除及其代码设计)。
在数字电路设计中,按键通常为一个个具有弹性的机械触点开关,其开启或者闭合的瞬间都会产生按键抖动,实际波形如图1所示:
由图1可知,在按键闭合和断开的瞬间,我们往往只需要一组稳定的上升边沿和下降边沿,但实际上却产生了若干个边沿。所以,在实际电路中,我们仅仅按动按键,简单地读取信号的边沿,却不滤除抖动,会导致一次按键操作被误读多次,从而引起电路的误动作。为了达到一次按键操作得到一次正确的响应的目的(在按键闭合或者断开),信号稳定的状态下读取此时按键的状态,就必须在电路中采取消除抖动的措施。在纯数字电路设计中,下面介绍几种消除按键抖动的常用实现方法。
1 设计硬件消抖电路(硬件方法)
设计一个具有延时作用的滤波电路或者经常用到的单稳态电路等硬件电路,来消除按键的抖动(避免抖动时间)。图2所示的电路,即为典型的滤波延时消抖电路,S为按键, Di为CPU数据线。当按键S未闭合时,与非门的输入电压Vi(即电容的端电压)为0,从而输出电压Vo为1。我们按下按键S,电容C的端电压不会发生突变,充电延迟时间取决于R1、R2和C值的大小,当电容端电压在充电时间内未达到与非门的开启电压,将不会改变门的输出电压;当电容端电压大于门的开启电压时,与非门的输出Vo发生改变,即输出为0(我们在数字电路的设计过程中,为了避开按键抖动的影响,需要使充电延时大于或等于100ms)。
同理,按键S断开时的情形,由读者自己来进行分析,此处不再赘述。
图2中,V1是未消抖的波形,V2是消抖之后的波形。
2 软件方法实现消抖
(1)计数器消抖的实现。计数器实现消除抖动,采用的是延时方法,其设计的核心是如何正确设定计数的时钟脉冲(即如何正确设计计数器的模值和计数时钟的频率,因为它们共同决定了延时的时间)。
(2)基于RS触发器的消抖设计。在实际设计中用时钟信号对按键输入信号进行采样。此方法消除抖动的关键在于确定合适的采样时钟频率,使得采样间隔时间适中,处在按键抖动时间和按键正常稳定闭合时间的范围之内。
3 按键消抖的程序实例分析
程序设计的基本思路是:(1)检测管脚电平是否拉低;(2)若检测到低电平,启动计数器,延时20ms左右的时间;(3)再次检测管脚是否低电平;(4)若还是低电平,确定按键被按下,输出控制信号。
程序实例:
input clk; //主时钟信号,50MHz
input rst_n; //复位信号,低有效
input key1; //按键1
reg reg0_key;
reg reg1_key;
always @(posedge clk or negedge rst_n) begin
if(!rst_n) begin
reg0_key
reg1_key
end
else begin
reg0_key
reg1_key
end
end
wire key_an;
assign key_an = reg1_key & ( ~reg0_key);
reg[19:0] cnt_key; //计数寄存器
always @ (posedge clk or negedge rst_n) begin
if (!rst_n) cnt_key
else if(key_an) cnt_key
else cnt_key
end
reg reg_low;
reg reg1_low;
always @(posedge clk or negedge rst_n) begin
if (!rst_n) begin
reg_low
end
else if(cnt_key == 20'hfffff) begin
reg_low
end
分析:reg0_key,reg1_key最先的初始值都是1'b1。当第一个时钟的上升沿(posedge clk)来临,非阻塞赋值开始,先是计算
4 结语
本文介绍了基于FPGA的几种简单的按键抖动的消除方法以及对典型代码实例进行了简要的分析。带有按键抖动的输入信号,如何消除其抖动,得到只占有一个时钟周期的输出信号,对于正确发挥数字电路的功能,具有重大的现实意义,这也是值得我们研究的问题。在现有方法的基础上,优化设计,获得更高性能和更高效率的设计方案,仍然是值得我们积极的探索的研究课题之一。
参考文献
[1] 许德成.基于FPGA的按键消抖动设计[D].吉林师范大学,2009.
篇5
中图分类号:G64 文献标识码:A
关键词:数字电路;课程建设;EDA;新体系
文章编号:1672-5913(2007)16-0035-03
1引言
电子技术的发展使与之对应的基础课程的教学内容也不断地发生变化。教学应领先于应用,而不能落后于应用,这是我们教育研究的动力。数字电路的教学内容的改革,也同样伴随着当今的电子科学和电子工业发展而发展。课程的内容体系,研究的范围与方法,学科的内在理论体系与应用型人才培养的大众化教育要求等,都大大促进了课程的体系和内容的改革。但就目前的数字电路这样一门专业基础课课程内容的设置问题,如何构建出适合我国国情和与当今科学技术对应的数字电路课程体系,仍要不断探索。本文通过对这门专业基础课的发展过程的回顾,分析近年来众多的教学改革的现状,就合理设置数字电路课程内容进行了一些探讨。
2发展与现状
数字电路的发展是从开关逻辑电路开始的,人们在厂家控制电路中的继电器和开关构成的电路与信号,创建了开关电路理论与脉冲技术。在20世纪60年代这一门技术由于电子元件替代了机械开关元件,使之逐渐成为电子技术的基本内容,得到研究和发展。随着半导体元件的快速发展,电子技术把研究信号的连续性和离散性的研究理论体系和研究方法进行了分类,形成了以数字逻辑代数和离散数学为基本理论的数字电路。最早期以晶体管脉冲技术为核心的数字电路课程,研究的方法与模拟电路的晶体管电路研究方法基本相同。
随着数字技术主要研究逻辑和算术运算、时间控制和计时等方面的应用,集成电路技术在数字逻辑电路的应用,出现了中小规模的数字逻辑电路标准器件。这时的数字电路研究的基本理论并没有新的发展,但研究的方法则是以标准逻辑电路的选用为基础的数字电路的分析与设计方法。
由于计算机应用于电子线路的辅助设计,超大规模的数字集成元件的分析与设计方法,成为新的数字电路的研究方向。EDA的工具软件与PLD元件的结合,使得数字电路的研究方法发生了新的变化。那种以中规模标准逻辑电路为基本单元的,自下而上的数字电路系统的研究与分析设计方法,已不适应当前的数字系统的集成电路设计要求。利用HDL语言为基础的自上而下的数字电路系统设计方法得到各种EDA软件的支持,使得数字电路研究方法出现了新的飞跃。
大量的学者与教育工作者认识到,以HDL为描述语言的数字电路设计方法将成为现代数字电路研究的发展方向。与之对应的研究成果把数字电路分为二层进行教学,数字电路基础和数字电路系统设计自动化。作为基础课,是为了深入学习后续课程来准备基本的理论知识和基本的研究与设计方法等技术基础。原有的自下而上的数字电路的课程中,增加了HDL语言的电路描述部分内容,保持原有的课程体系不变。随着EDA技术在数字电路的研究、分析和设计上的应用,数字电路系统设计自动化成为第二层面的教学内容。之所以称之为第二层面,对于数字电路而言,研究内容大致一样,研究方法上不在同一层面之上。一个是以逻辑单元为基本研究对象,对数字电路系统设计是自下而上的,另一个是直接以系统为对象,用HDL语言描述,在EDA软件平台上,自上而下的逐步综合实现的。
3新体系的设想
把EDA作为数字电路的主体分析设计工具。在教学内容上确立其中心位置。以单元电路学习形成的基本概念为基础,以自上而下的电路系统设计方案为思路,以HDL语言为描述方法,构建的教学内容新体系。
改革原有的以逻辑代数为基础的思路,把逻辑代数与HDL语言并行为基础。改革由单元电路开始自下而上的知识构建思路,变为引入EDA软件的工具学习为开始的自上而下的知识构建思路。
4新体系建立的基本思路
数字电子技术在数字集成电路集成度越来越高的情况下,开发数字系统的实用方法和用来实现这些方法的工具已经发生了变化。特别是可编程逻辑器件的大量应用,使原来中小规模的标准器件在应用系统的设计中应用减少。
尽管传统的基本单元电路对于理解数字系统基本构成模块的工作原理具有重要意义,但是必须认识到电子技术的新进展使系统和数字逻辑电路的工作过程出现了新的描述方法。未来的数字系统设计,对描述方法的理解可能比具体的硬件结构更加重要。
从数字电路课程的性质,专业基础课看。如果这个基础工业的应用范围变了,中规模的标准逻辑器件相对应的研究方法,占实际应用的比例少到一定程度,而取而代之的现代电子技术的研究方法应该定位成课程的基础。
从旧体系中的课程目的是针对从晶体管电路发展而来的研究方法与学习内容,在中规模电路中进行了改革与发展。引进HDL语言的描述,使研究的层面从单元电路发展为系统级的层次。
旧的数字系统是在手工设计流程,一般都是先按电子系统的具体功能要求进行功能划分,然后对每个电路模块画出真值表,用卡诺图进行手工逻辑化简,写出逻辑表达式,得到相应的逻辑线路图。再进行单元器件的选择,设计电路板,最后进行实测与调试。而复杂电路的设计、调试十分困难,无法仿真在设计中存在问题,查找和修改十分不便,只有在设计出样机后才能进行实测,设计周期长。
新的数字系统是在EDA中使用HDL对数字系统进行抽象的行为与功能描述,到具体的内部线路结构描述,从而使设计的各个阶段,各个层次在EDA软件环境中模拟验证,保证其正确性,周期短。由于逻辑设计仿真测试技术是EDA的突出功能,形成的现代电子设计技术的重要特征。适应了大规模的系统级电子设计的自动化程度。
对于知识构建的认识。可以从实际的需求,即专业人才应具备的素质和能力。在构成这样的素质和能力的知识体系,确定应具有理论的系统性和完整性去构建课程的内容。
从大众教育与精英教育关系上看,原有的教材所形成的课程内容,是以理论研究为目的的课程体系。不适应现在的学习群体的实际应用能力培养的需要,所以课程的内容要从研究型的专门人才,向应用型的技术人才相适应。其内容处理的方向是注重民应用为目的的“必须”与“够用”为度。
因为新的课程内容所提出的结构体系,更加符合大众教育的特点,和人们认识规律,将大大降低学习难度。
传统的数字电路教学内容中的课堂与实践的关系。是以课堂教学内容为主,实验只是为了验证课堂教学,而采用EDA为中心的数字电路教学内容的课堂与实践是同步进行了。因为这种教学与实验是一个整体的EDA软件,课堂的演示就可以解决验证的问题,学生在EDA软件的环境之下,可能随时随地在计算机上进行实验研究。
篇6
【关键词】FPGA Quartus II EDA 计数器
随着全球经济的高速发展、科学技术的不断创新,电子设计自动化EDA(系Electronic Design Automation的缩写)技术,在电子信息工程领域成为了当今世界上最先进的电子电路设计技术。它依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(系Hardware Description Language的缩写)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件如现场可编程门阵列FPGA或复杂的可编程逻辑器件CPLD(系Complex Programmable Logic Device的缩写)或专用集成电路ASIC(系Application Specific Integrated Circuit的缩写)芯片中,从而实现既定电子电路的功能系统设计。因此,在电子工程应用领域,用EDA技术来完成电子系统的设计,已成为现代电子设计技术的核心。
1 设计方案
本文提出的系统设计方案采用自顶向下的设计方法,从系统设计入手,在顶层对计时器整体电路系统进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,用硬件描述语言(HDL)对高层次的系统行为进行描述并于功能一级进行验证;系统电路的设计依托于FPGA硬件平台,采用超高速集成电路硬件描述语言―VHDL(系Very High Speed Integrated Circuit Hardware Description Language的缩写),设计在Quartus II开发环境下进行;设计出的计时器计时范围为00.00--59.00秒,精度为0.01秒,具有秒加和秒减计时、清零、计时―停止―继续计时等功能,对百分之秒和秒的计数信息采用四位LED数码管进行友好界面显示。系统设计框图如图1所示。
2 核心模块设计
2.1 输入配置模块设计
依据加减计时器设计系统框图图1所示,输入配置模块包括反向器逻辑门、与逻辑门两部分。基于设计功能需求,反向器逻辑门、与逻辑门的VHDL描述设计如图2所示。
2.2 加/减计数模块设计
加/减计数模块包括0~59秒秒加/秒减减计数器、精度0.01秒秒加/秒减计数器。该模块的主要功能是:根据模块的输入控制信号,来实现计时器的计数加或计数减的操作。如系统框图图1所示,在输入端口信号的控制下,精度0.01秒秒加/秒减计数器的进(借)位输出信号,作为后续0~59秒秒加/秒减计数器clk端口的输入信号,从而实现计时器的加/减计数工作。设计中,0~59秒秒加/秒减计数器为六十进制加/减计数器,其用VHDL描述设计如图3所示。
精度0.01秒秒加/秒减计数器的设计为百进制加/减计数器,其用VHDL的描述设计思路类似于六十进制的加/减计数器,此处不再复述。
2.3 扫描译码显示模块设计
本模块为加减计时器系统设计的输出模块,其功能在于对前级模块的计数信号进行动态扫描、译码,并进行数字信息显示。包括动态扫描电路、译码电路、LED显示器。动态扫描器电路VHDL描述设计如图4所示,译码电路VHDL描述设计如图5所示。另外,LED显示器采用的是四个共阴极的数码管来实现数字信息的友好显示。
3 系统验证及测试
3.1 系统波形仿真验证
在Quartus II开发平台下,逐一完成各模块设计,并将各模块依次按照设计系统框图构建起来,得到整个计时器的系统设计电路。按照设计系统功能要求,完成波形正确仿真验证如下:
(a)秒加计时波形仿真验证:
Input :Clk=clk_1hz=100hz ,fuwei=1,s=1,clr=0,k=0,L=1 Output:Mm,sc,LEDN,WX(见图6)
(b)秒减计时波形仿真验证
Input :Clk=clk_1hz=100hz ,fuwei=1,s=1,clr=0,k=0,L=0 Output:Mm,sc,LEDN,WX(见图7)
3.2 FPGA硬件平台测试
通过Quartus II开发平台,将编程设计文件下载到型号为EP1C12Q240C8(Altera公司Cyclone系列)的目标芯片上,用达盛科技有限公司的FPGA硬件实验箱EDA-V+平台进行正确测试图片如8。
4 结语
整个加减计时器系统的设计过程,采用自顶向下的设计思路。首先,确定系统构架框图,根据各模块功能,依次进行VHDL程序设计。然后,采用原理图输入法,将各模块的设计元件连接起来,从而实现加减计时器系统电路的多层次设计。最后,对设计系统进行波形仿真验证和FPGA硬件平台测试。通过软硬件验证测试表明,本文提出的设计方案确实可行。
参考文献
[1][巴西]Volnei A.Pedroni著.Circuit Design With VHDL[M].美国:MIT Press,2004.
[2]汪国强.EDA技术与应用[M].北京:电子工业出版社,2007.
[3]唐俊英.EDA技术应用实例教程[M].北京:电子工业出版社,2008.
[4]Floyd T L.数字电子技术[M].北京:电子工业出版社,2014.
[5]阎石.数字电子技术基础[M].北京:高等教育出版社,2006.
[6]李金平,沈明山,姜余祥.电子系统设计[M].北京:电子工业出版社,2012.
作者简介
陈龙险(1986-),男,白族,贵州省盘县人。大学本科学历。现为青海建筑职业技术学院助教。
篇7
关键词:电子电路;设计;调试
在进行电子电路设计时,要在理论基础上结合实践,并且要通过调试使之更加完善。对于电子器件来说,电路设计的好不一定安装出来会出现让人满意的效果,在实际执行中总会有误差出现,比如元器件的参数误差、电阻阻值误差等。所以在完成电路设计和安装后必须对其进行调试,在每一次调试后对出现的问题进行改进和完善,这样才能使最终设计出的电子器件满足实际需求。
1 常用电子电路的设计方式
在生活中,总会有一些问题需要设计并实现电子电路来解决。在进行电子电路的方案设计时,需要在理论的基础上结合实际情况,在种类繁多的电子元器件中选出合适的部件进行电路组装,在组合的过程中要思考如何运用巧妙的方式使最终的成品既满足实际的生产需求又满足简单、简洁的原则。设计者要完成运行良好的器件必须具备扎实的理论基础,同时还要有丰富的实践经验和灵活的头脑。除此之外,在设计过程中还要多查阅相干书籍使设计方案更加精准。
1.1 明确电子电路设计要求
在进行电子电路的设计时,要求设计者必须对产品的需求、目的、标准和性能指标做到心中有数。在确定器件参数时尽量做到精准,如果参数无法确定,那么在进行方案设定时必须根据实际情况留出一些富余量。设计者必须在进行方案设计前对实际情况作出调查,并且能够针对具体问题作出详细分析,在明确设计要求的前提下进行电子电路的方案设计。
1.2 总体设计方案的制定
在进行电子电路的设计时,首先要明确产品的性能要求和设计目的,设计者要根据这些基本信息,同时结合自身所掌握的的知识技能和参考资料提供的数据,最终制定出几套备选的总体设计方案。要求所制定的总体方案要在满足设计要求的前提下尽可能做到经济、简便、科技水平高、多功能等。然后设计者要仔细分析每一套设计方案的优点及缺点,综合考虑各方面因素,经过不断对比和筛选后选择几套比较符合标准的方案,进而再通过实际的调查探究和咨询确定一套最终方案。在进行方案设计时,要利用框图来展示设计原理,不必非常详细但要将已经确定的部分展示出来。方案系统框图要能显示出设计要求和标准,各个部件的自身功能以及各器件之间的联系。
1.3 各个单元的设计、器件及参数选取
⑴单元的设计。在进行单元设计之前,要参照已经制定出的总体设计方案和器件的设计要求,认清器件需要完成的任务是什么以及各个器件之间是怎样的关系。最好可以对主要单元的性能要求作出明确规定。如图1所示,该电路就被分成了几个小的单元分别进行设计。在进行具体单元设计时,可以参考其他的完善的电路,也可以更具自己的思路进行创新。但无论选择哪种方式都必须在保证单元性能和要求的前提下进行。在具体操作过程中,可以多翻看一些相关资料,使自身思路更加开阔,使电路尽量简单,最好具有经济节约的特性。
⑵参数确定。在进行电子电路设计时,总会用到很多参数,而这些参数是需要通过计算得到的。在计算之前必须对电路的工作原理了然于心,同时还要明确电路所要完成的具体功能,然后运用相关公式就能得到所需的参数数值。
2 常用电子电路的调试方式
电子电路在设计、安装完成后必须进行进一步的调试才能使器件最终满足总体的设计要求,它作为一项不可或缺的重要步骤,是理论与实践相结合的必要途径。要求调试者必须同时具备充足的理论知识和丰富的实践经验。实际的调试过程一般可以分为以下几步:
2.1 仔细检查
要检查电路的连接是否正确,比如电容极性是否正确、元器件的安装位置是否正确、二、三级管连接是否正确、电源正负极连接是否正确、是否有接地线和焊接是否符合要求等。
2.2 通电检测
在通电前,要先检查所用电压的数值大小和极性是否正确。在通电后要先观测器件是否出现发热、有异味或者冒烟现象,若有必须立刻断电进行检查,若无则可以继续调试。
2.3 分块调试
要将整体的电子电路按照不同功能分成不同的模块,针对不同模块分别进行调试。调试可以分为静态调试和动态调试。在进行静态调试时,对于模拟电路要检测其静态工作点以便查看电路的工作状态是否正常;对于数字电路则要检测各个输入和输出端口的电压是否正常。在调试时要先进行静态调试,一旦过关再进行下一步的动态调试。
2.4 联机调试
在完成分块调试后,可以将各个模块联接起来构成整体进行联机调试。进行联机调试的主要目的是观测实际的运行结果,将结果与设计的总目标、总要求和性能指标进行逐一对比。发现问题后进行改进,然后再测试。在经过不断调试后,电子电路将逐渐趋于完善进而达到总体的设计要求。
[参考文献]
[1]余春平.浅析电子电路设计制作常用调试方法与步骤[J].时代报告(下半月),2012(6):57-98.
篇8
关键词:VHDL;MAX+PLUSⅡ;仿真;数字电路
中图分类号:TP331文献标识码:A文章编号:1009-3044(2008)21-30573-02
Application of VHDL in Digital Circuit Teaching
WU Xi-qin
(School of Computer and Information,Hefei University of Technology,Hefei 230009,China)
Abstract:VHDL,as a new type of hardware description language,is used to describe ,stimulate and automatically design digital system.Nowdays, it becomes a key technology in electronic design automatic(EDA).The method and process using VHDL to design digital system is presented through an example of modulo-16 counter .The anticipative target is achieved through stimulation.The result shows that VHDL is strong in hardware description and flexible in design method.It could reduce the design difficulty of digital system and improve efficiency.
Key words:VHDL;MAX+PLUSⅡ;stimulation;digital circuit
1 引言
随着电子技术的发展,数字系统的设计正朝着高速度、大容量、小体积的方向发展,用传统的自底而上的设计方法已不能满足要求,迫切需要提高设计效率。VHDL语言是一种对数字电路和数字系统进行性能描述和模拟的语言,是美国国防部在20世纪70年展的电路设计工具,并于1987年成为IEEE的一种标准语言。VHDL是一种面向设计的多领域、多层次IEEE标准硬件描述语言,是目前十分流行的硬件描述工具,并且被大多数EDA工具支持。
2 VHDL优点
1)功能强大,描述力强。可用于门级、电路级甚至系统级的描述、仿真和设计。
2)可移植性好。对于设计和仿真工具采用相同的描述,对于不同的平台也采用相同的描述。
3)研制周期短,成本低。这主要是由于VHDL支持大规模设计的分解和对已有设计的利用,因此加快了设计流程。
4)可以延长设计的生命周期。因为VHDL的硬件描述与工艺技术无关,不会因工艺变化而使描述过时。
5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。
3 VHDL的设计流程
VHDL在设计过程中,采用自顶向下的方法,首先从系统设计入手,在顶层进行功能方框图的划分,然后对各模块进行设计并仿真,再进一步综合进行门级仿真,如果没有错误即可下载,最后实现电路,用VHDL设计数字系统的流程如下:
输入源程序编译源程序仿真综合门级仿真物理设计时序仿真
VHDL语言已日益成为一种通用的硬件描述语言,计算机辅助工程软件的供应商已把VHDL作为其CAD或EDA软件输入与输出的标准,其中ALTEKA公司提供了一套十分有特色的综合工具MAX+PLUSⅡ,它提供了全面的逻辑设计能力,从编辑、综合、布线到仿真、下载一气呵成,十分方便。
4 VHDL设计实例及仿真结果
4.1 设计方案
计数器根据时钟信号的作用分为同步计数器和异步计数器。同步计数器是指构成计数器的各触发器状态在同一时钟信号的控制下同时发生变化。下面设计一个具有异步清零功能的四位二进制同步可逆计数器。该计数器有一个上升沿有效的时钟输入端CLK;一个异步清零端CR(CR低有效);一个计数方向控制器UPDOWN(UPDOWN=1时,进行加法运算;UPDOWN=0时,进行减法运算);一个四位数据输出端COUNT;一个进位输出端CO。
4.2VHDL语言设计的源程序如下:
LIBRARYIEEE;
USEIEEE.STD_LOGIC_1164.ALL;
ENTITY cnt4b IS
PORT(CLK:IN STD_LOGIC;
CR:IN STD_LOGIC;
UPDOWN:IN STD_LOGIC;
CO: OUT STD_LOGIC;
COUNT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END cnt4b;
ARCHITECTURE behave OF cnt4b IS
SIGNAL CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
CQI
PROCESS(CLK,CR)
BEGIN
IF (CR='0')THENCQI
ELSIF (CLK'EVENT AND CLK = '1')THEN
IF (UPDOWN='1')THEN
IF (CQI>"1111")THENCQI
ELSE
CQI
END IF;
IF (CQI="1111") THEN
CO
ELSE
CO
END IF;
ELSE
IF (CQI
CQI
ELSE
CQI
END IF;
IF (CQI="0000") THEN CO
ELSECO
END IF;
END IF;
END IF;
COUNT
END PROCESS;
END behave;
在程序输入完成后,经MAX+PLUSⅡ中的Compiler编译通过后,可用Stimulator进行仿真。
4.3 仿真结果
仿真结果说明:1)CR为0使计数从0开始;
2)UPDOWN为0时,在每个时钟上升沿使计数器减1;
3)UPDOWN为1时,在每个时钟上升沿使计数器加1;(下转第576页)
(上接第574页)
4)CO滞后于COUNT一个时钟。
5 结束语
VHDL语言具有与硬件无关和与设计平台无关的特性,并具有很强的电路描述和建模能力。目前,VHDL已成为电子设计自动化领域进行自上向下设计的应用方向,是专用数字集成电路设计描述的有力工具,同时也是逻辑综合和优化的重要基础,作为一种重要的高层设计技术,VHDL已成为当代电子设计者们必须掌握的重要工具。
参考文献:
篇9
关键词:电子技术课程;教学改革;教学模式
1课程改革历程
作为一门历史悠久的专业基础课程,武汉工程大学的电子技术课程设计经历了多次改革的探索和实践。在教学时间上,课程计划时间起初为两周;之后为强化模拟电路部分,分为模拟电子技术课程设计和数字电子技术课程设计两门课程,各一周时间,分两学期开设;经历几年的实践,再次合并为电子技术课程设计一门课程,教学时间恢复为两周。在选题上,最初仅有少量以数字电子技术为主的项目;在分成两门单独的课程时,单个课题系统规模减小,分别对模拟电路和数字电路进行设计,同时增加选题数量;再次合并为两周的课程后,教学组重新论证、选择、组织、设计了一批模拟和数字电路综合的题目,并改革了教学模式和考核方法。经过两年多的实践验证,取得了良好的效果。
2教学内容改革
2.1设计题目改革的必要性
由于课程开设多年,连续使用相同的题目逐渐显现出明显的弊端[1]。重复的选题不容易引起学生的兴趣和积极性,而且往届设计课题的资料积累较多,容易出现抄袭现象,影响课程效果。此外,传统项目选题数量偏少,且往往一个题目仅偏重模电或者数电一门课程的知识,难以使学生从中得到更全面的训练。
2.2设计题目改革的基本原则和目标
(1)应保证设计题目的综合性,扩展知识覆盖面。由于课程设计是项目驱动,小组合作模式进行,每组学生只能选择一个课题来完成所有设计任务。因此,单个项目应具有一定的综合性,最好应包含数字电路部分和模拟电路部分,有利于学生通过一个课题锻炼两个领域的综合知识。经电子学教学组讨论,建议选题时主要强调电子技术基本知识和技能的训练,增加电子设计自动化(EDA)软件的要求,可以适当引入传感器等扩展内容,但不建议引入后续课程知识,如单片机、FPGA、虚拟仪器等独立完成项目的设计[2]。
(2)题目需难度适当,在设计任务书中既有功能要求,也有具体的技术指标要求,同时设计要求有一定的灵活性和扩展性。既可保证普通水平的学生可以在既定时间内通过努力达到基本要求,也能鼓励并给有余力的学生有发挥的空间。并鼓励学生充分发挥主观能动性和创新思维。
(3)应保证设计题目的多样性,并应紧密联系工程实践应用。如果学生可以有更丰富的可选题目,题目的趣味性和实用性更强,就更有利于激起学生的学习兴趣,积极投入设计工作。而且选择不同课题的同学在设计过程中通过相互交流,也可以学到更多知识。
2.3选题设计
在具体确定选题时,一方面增加新的课题,或者改编一DOI:10.16735/ki.jet.2016.07.004些典型应用项目,另一方面,不需要舍弃有价值、有特色的传统题目,但是可以改编功能要求,增加新的模块或性能指标要求,以满足综合训练的需要或使实验项目更接近工程实际。例如,在传统选题中,对数字钟的功能要求明确,但对计时精度没有要求,很多设计资料中数字钟时基信号往往采用RC振荡电路或者555定时器构成的多谐振荡器来产生,其精度和稳定度是无法满足实际应用要求的。在新的课题任务书中,要求数字钟的计时误差必须小于每日1s,因此学生在考察设计方案时就必须主动思考,仔细辨别,分析确定如何选择更合适的电路单元来输出标准时基信号。在频率计课题中,除了传统的振荡、分频、计数、锁存模块设计,还提出对输入被测信号进行放大整形、自动转换量程和显示控制等功能要求[3]。在新增选题多位数码管动态扫描译码驱动电路中,在实现基本的扫描、译码、驱动等基本功能之外,要求学生给出设计方案,将控制模块中振荡电路部分为采用模拟电路模块来实现。此外还增加或改编设计了电子密码锁、电子拔河游戏机、可编程运算放大器、简易交通灯控制器、声光报警定时抢答器、双声道功率放大器、篮球竞赛24秒计时器等综合性选题。对某些规模较小的数字电路,将直流稳压电源作为一个辅助模块,加入到电路系统中。基本上每一个课题学生都必须结合数字和模拟电路知识,以实现一个完整系统的功能和技术指标。
3教学模式改革
3.1实施导师制和小组合作模式
传统的教学模式是每个班级的学生分成2-3人的小组,每组合作完成一个选题,每个班3-5个选题,指导老师按班级指导课程设计。改革后,在一个指导周期内每位指导老师仅负责2-3个项目,每位导师将不同班级的学生按照选题组织在一起。虽然项目仍以小组为单位完成,但可以统一安排设计进度,组织教学活动。对学生来说,这种模式可创造班级间和专业间的交流机会,碰撞出更多的思想火花,有利于推进设计进度,改善设计方案。对老师来说,无需提前准备和熟悉每个指导课题的所有材料,也不用在一个指导周期内经常在各个课题之间切换,既提高了教学率,也减轻了工作负担,使教师可能有精力对课题的设计方案做更全面的研究,与学生进行更深入探讨。
3.2 “粗化”指导方式,给学生更多自主性和锻炼机会
教师在介绍、布置设计任务时,仅说明功能、指标,以电路框图或模块结构介绍电路组成,提示可能的设计方案,规定时间进度,但不再给出具体参考电路,也不指定器件,由学生自主查阅相关资料,分析讨论设计方案,经指导老师审查后,再领取元件和器材,连接调试电路。如遇缺少的元器件,经老师同意后,学生可以自行到电子市场购买补充。
3.3借助网络,构建多方位、多层次课内外交流模式
在指导过程中,每位导师开设专用QQ群,将同课题大组同学组织在一个群中,形成一个几十人的学习小组。一方面方便导师把教学资料共享,在课外开展指导和答疑工作,一方面方便选择同一课题的同学,一起交流心得体会,构造互相帮助的平台。实践证明,这是一种非常积极有效的教学方式。既营造了积极向上的学习气氛,也使教学的时间、地点更加灵活,受到学生的一致欢迎。
3.4开放实验室资源,提供灵活自主学习环境
在特定时间段开放实验室资源,期间学生可以随时来实验室调试电路,并能得到实验室老师的指导。
3.5引入EDA辅助方法
在进行电路设计时,要求学生在EDA仿真软件中进行多组方案的性能比较,分析论证最佳及最可行方案。在电路检查调试时,建议学生比较仿真结果与实物电路测试数据,排查错误,改进设计。对软件类型给出建议,但不予限制,学生可自由选择Multisim、OrCAD、Proteus等常用EDA软件。
3.6融入信息素养培养
信息素养是信息意识、信息能力和信息道德的综合,反映了一个人的终身学习能力[4]。在电子技术课程设计的教学中,我们有意识地引导学生培养信息观念,在文献检索、方案论证、解决问题、撰写报告等工作中,注意提升自己搜寻、鉴别、利用、组织和有效创造、交流信息的能力。
3.7加强过程考查,考核方式多样化
为了在共用课题和小组合作模式下实施科学的、反映个体差异的评价,指导教师在考核时需要跟踪方案设计、电路仿真、连线和调试过程,了解小组成员的分工合作情况。在电路验收时进行一对一答辩,要求学生演示操作电路,回答课题相关问题。在课程设计报告撰写时要求必须独立完成,如果出现明显雷同则需退回重写,或者直接评定为不合格。总评成绩由6个部分构成:调查证、实践能力、分析解决问题能力、工作量和工作态度、质量、创新。
4结语
在教学改革的实践中,新的课题和新的教学模式提高了学生的积极性和学习深度,不少学生反映电子技术课程设计是进入大学以来最有意思、得到最多锻炼的一门课,教学效果得到明显提升。指导教师们也从课题的深入研究和交流中获益,对课程的进一步改革和发展有了更多的思路。
作者:陈柳 刘海英 郑宽磊 戴璐平 戴丽萍 单位:武汉工程大学 电气信息学院
参考文献:
[1]侯素芳,任艳频.电子技术课程设计内容改革的研究与实践[J].实验室研究与探索,2012(1):108-110.
[2]刘颖,侯建军,黄亮.“电子技术课程设计”精品课程建设与改革实践[J].电气电子教学学报,2008,30(2):3-4+7.
篇10
关键词:电子技术综合设计;实践能力;创新思维
1引言
随着石河子大学人才培养模式的不断改革,以及社会对高等教育培养具备实践能力、创新思维人才目标要求的提出,实践教学环节作为工科专业人才培养体系中的重要组成部分[1],成为当下大学生创新思维和创新能力培养的重要环节。电子技术综合设计是一门实践性非常强的实训类课程,是电子技术人才培养成长的必由之路。由学生自行设计、自行制作和自行调试电子电路,旨在培养学生掌握综合模拟、数字、高频电路知识,解决电子信息方面常见实际问题的能力,培养学生电子电路设计与EDA(ElectronicDesignAutomation)调试工具的使用方法,以及开展项目管理的基本方法。
2现状
以往的教学安排中主要侧重电子电路的设计和仿真,留给学生自己用于思考和设计的时间有限,设计基本停留在纸上和计算机上。因此,教学效果很难达到预期的教学目的。虽然学生在参加接下来的相关课程的课程设计、大学生训练计划、全国大学生电子设计大赛、毕业设计时理论分析能力得到提高,但实际设计和调试时却出现大量问题很难得到快速解决的现象。所以,这种教学模式不再适应目前新的人才培养方案对于电子信息工程专业提出的要求以及创新人才的培养。
3课程改革探索与实践
电子技术综合设计课程的改革与探索主要从课程教学目标、课程教学内容、课程教学实施、教学方法、考核方法和教学效果等几个方面进行。课程目标电子技术综合设计将学生已学过的电路基础、模拟电路、数字电路以及高频电路等课程的知识综合运用在该课程中[2],从而培养学生具备电子元器件的识别和选择,电子电路仿真和电路设计软件的使用,电子电路的分析和设计以及实际应用电路项目的开发、管理等综合能力,使学生切实经历从原来课本上的电路到EDA软件的仿真电路再到实际看得到、摸得着的电路的实现过程。该课程是对现有课程体系的完善和补充[3],帮助学生拓展视野,提升学生参加课外科技活动、校级SRP(StudentResearchProject)活动、国家大学生创新计划以及全国电子设计竞赛等专业竞赛的兴趣和毕业设计的质量与水平。教学内容课程的主要内容按照基本知识验证、专业知识综合、创新设计能力培养的原则进行安排,主要包括:常用电子元器件基础知识;常用电子测量仪表的使用;电路仿真软件的使用;印刷电路板的设计与实现;电子电路系统设计方案提出、论证、设计、元件焊接、系统调试;撰写总结报告、答辩等。1)常用电子元器件基础知识:主要讲解电阻、电容、电感、电位器、变压器等常用元件的区分,还包括一些电子常用术语,比如单面板、双面板、焊盘、焊接面、虚焊、桥接等。2)常用电子测量仪表的使用:包括万用表、示波器、函数发生器、直流稳压电源的基本使用方法。3)电路仿真软件的使用:主要讲解电路仿真软件Multisim的使用。4)印刷电路板的设计与实现:AltiumDesigner软件中电路原理图的绘制和PCB图的绘制方法。5)电子电路系统设计方案提出、论证、设计、元件焊接、系统调试:对全班学生进行分组,四个人一组,每组一个设计题目,每组经过方案的提出、讨论、修改、教师审核、论证后设计出电路仿真图,仿真没有问题后设计PCB图,然后制成单面板进行元件焊接、调试。6)撰写总结报告、答辩:系统设计完成后,每组撰写总结报告,提出系统的优点和设计不足,以及设计过程中自己的心得体会,最后制作幻灯片进行课程汇报答辩。教学实施在完成各个教学内容时,课程采用项目驱动的方式使学生在掌握理论知识的同时,实践能力也得到不同程度的提高。整个教学过程分为4个项目进行,通过项目的完成,学生逐步完成课程的学习,综合能力也在不知不觉中得到锻炼。1)基本元件及电路测试项目。教学内容的前两部分讲解完成后,要求每个学生进行基本元件参数的测试、电路虚焊、双面板线路测试等。通过该项目,学生掌握电子元件与电路测试的基本方法和常用测量仪器的使用方法。2)电子电路设计和仿真项目。在该项目中,教师首先讲解电路仿真软件Multisim的使用方法,然后以实例设计一个两级晶体管放大电路。在此过程中,教师从元件参数的选取、放大倍数的计算、系统测试和修改等方面给学生进行讲解。讲解完成后,学生参考实例设计一个放大倍数不同的晶体管放大电路作为练习。练习完成后,全体学生设计一个波形发生电路用来产生方波、三角波信号。学生设计过程中可相互交流,碰到问题可询问教师,最终完成项目预期目标。该项目完成后,学生可以掌握电路仿真软件的使用方法和电路设计的基本原则。3)电子电路制板与焊接调试项目。前两阶段的项目完成后,教师讲解电路制板软件AltiumDesigner的使用方法和手工腐蚀法制作单面电路的流程,讲解和制作过程以上一个项目中的两级晶体管放大电路为例,讲解的过程中学生如果有问题可随时提出,教师进行解答。最终要求学生自己实现一个两级晶体管放大电路的印刷电路板的绘制,以及电路的腐蚀、焊接、通电调试。通过该项目,学生掌握了电子电路从书本的理论知识到实物实现的过程。4)综合设计与总结项目。学生按学号进行随机选题,题目内容涵盖模拟电路(如连续可调直流稳压电源)、数字电路(如循环彩灯控制器)、高频电路(如小信号阻容耦合放大电路设计)。题目选定后,题目相同的学生分成一组,组建项目小组。项目组成员提出设计方案,经过理论论证,设计完成仿真电路和PCB电路,然后采用手工腐蚀法实现电路系统的板面布线,最后进行元件焊接和调试。系统完成后,整个课程基本接近尾声,每组学生要对自己的设计方案进行汇报答辩。通过该项目,学生掌握了复杂电路的设计与实现,以及团队合作完成项目设计、管理、总结的过程。教学方法课程的教学方法,打破传统理论课程完全靠教师讲授以及实验课程以学生动手为主的模式,采用教师讲授、项目训练、学生参与设计和讨论、分析讲解和答辩的形式。学生有机会表达自己的观点和设计思路,充分调动积极参与的兴趣。考核方法课程的总评成绩由5个部分组成:考勤10%+课程表现10%+项目完成情况30%+课程答辩情况20%+课程报告30%。新的考核标准打破原来课程总评成绩主要由平时成绩、设计成绩两部分组成的模式,主要以学生在教学实践活动中的参与度和完成度作为考量,注重学生实践能力和综合能力的培养。教学效果经过两周的项目驱动训练和实践环节的总结,学生对于测量仪器的使用更加熟练,对常用电子元器件的选用和封装了解得更为清楚,对电子电路的设计和实现更加有信心,分析问题、解决问题的能力得到了很大的提高。
4结论
课程改革和实践在石河子大学电子信息工程2012级、2013级和2014级为期两周的电子技术综合设计课程中进行,学生对于课程内容安排和各个环节的设计比较欢迎,加大了学生创新思维和创新能力的培养。课程实施的整个过程侧重基础能力培养,将项目管理理念贯穿整个课程的始终,加大创新能力的培养。学生在后续的毕业设计和课外科技活动中凸显了较强的实践和创新能力。
作者:周涛 张锐敏 刘巧 李栓明 钟福如 单位:石河子大学信息科学与技术学院
参考文献
[1]吴大鹏,黄沛昱.“电子系统综合设计”课程建设探索[J].电气电子教学学报,2014,36(6):41-43.