数字电路的设计范文
时间:2023-10-13 17:36:47
导语:如何才能写好一篇数字电路的设计,这就需要搜集整理更多的资料和文献,欢迎阅读由公务员之家整理的十篇范文,供你借鉴。
篇1
【关键词】数字电路 抗干扰 差模 共模
1 数字电路抗干扰研究的意义
随高速电脑的发展,CPU时脉不断的提升,PCB所能够承受的时脉性能也相对地需要跟着增加。为了提高传输的效能,则必须降低电磁干扰的现象。如何设计较低电磁干扰的传输线,是目前高速数字时代非常重视的问题。要解决传输线的电磁干扰问题,首先需要从平行线去探讨,因为两条垂直的传输线的电磁干扰非常小,而两条很靠近的平行线之间存在的电容效应及电感效应会产生串音(cross talk)的干扰现象。在差模的传输中,电磁幅射的现象较共模传输小,也即信号的能量损失较小,于是能够保有较好的信号完整度且对于其它传输线的干扰比较小,因此对于整体的传输效能较佳。由于差模传输线能够有效地解决串音现象,所以在数字电路的传输线中,已经渐渐地采用差模的传输方式。然而在高速数字电路中,效能是比较受到重视的,因此虽然使用差模传输需要付出额外的成本,但是这种额外的付出在效能提升的考量之下,是普遍可以接受的。
2 数字电路的干扰机理分析
一是共模与差模传输线干扰现象的机理分析。共模与差模传输线的干扰需要有一个基本的了解,就是两条平行传输线之间的电感效应所造成的干扰现象远大于电容效应所造成的干扰现象。当传输线越长时,电感效应所造成的干扰现象会更加地明显,因为电感效应所造成的干扰现象与传输线的长度成正比。电容效应所造成干扰现象的大小则与传输线的长度无关当传输线越长时,这种差异会更大,所以差模传输线适用于高速与长度较长的场合。
二是不同步的差模传输线干扰现象的机理分析。差模传输在同步时有很好的抗干扰表现,但是当两条线的信号不完全同步时,就会产生如前所述之共模干扰现象。共模的干扰现象远大于差模的干扰现象。所以在差模传输中尽量避免信号不同步的情况,才能使差模的传输达到最佳的状态。然而实际在应用时,经常会产生信号不同步的情况,因为在布线时,转角是很难避免的,而转角就会造成平行线的长度不一致,所造成信号传输的不同步,也就造成了干扰现象的增加。
3 数字电路抗干扰设计常用措施分析
(1)抑制干扰源。抑制干扰源就是尽可能减小干扰源的du/dt,di/dt,这是抗干扰设计中最优先考虑和最重要的原则,主要通过在干扰源两端并联电容来实现。减小干扰源的di/dt,则是在干扰源回路串联电感或电阻以及增加续流二极管来实现。抑制干扰源的常用措施为;第一,继电器线圈增加续流二极管,消除断开线圈时产生的反电动势干扰。只加续流二极管会使继电器的断开时间滞后,增加稳压二极管后继电器在单位时间内可动作更多的次数;第二,在继电器接点两端并接火花抑制电路,减小电火花影响;第三,给电机加滤波电路,注意电容、电感引线要尽量短;第四,电路板上每个IC要并接一个0.01uF~0.1uF高频电容,以减小IC对电源的影响。注意高频电容的布线,连线应靠近电源端并尽量粗短,否则,等于增大了电容的等效串联电阻,会影响滤波效果;第五,布线时避免90度折线,减少高频噪声发射;第六,可控硅两端并接RC抑制电路,减小可控硅产生的噪声。
(2)切断干扰传播路径。干扰的传播路径基本分为传导干扰和辐射干扰两类。传导干扰是指通过导线传播到敏感器件的干扰。高频干扰噪声和有用信号的频带不同,可以通过在导线上增加滤波器的方法切断高频干扰噪声的传播,有时也可加隔离光耦来解决。电源噪声的危害最大,要特别注意处理。辐射干扰是指通过空间辐射传播到敏感器件的干扰。一般是增加干扰源与敏感器件的距离,用地线把它们隔离和在敏感器件上加蔽罩。
(3)提高敏感器件的抗干扰性能。其常用措施为:第一,布线时,尽量减少回路环的面积,以降低感应噪声;第二,布线时,电源线和地线要尽量粗。除了减小压降外,更重要的是降低耦合噪声;第三,对于单片机闲置的I/O口,不要悬空,要接地或接电源。其它IC的闲置端在不改变系统逻辑的情况下接地或接电源;第四,对单片机使用电源监控及看门狗电路,如IMP809,IMP706等,可大幅度提高整个电路的抗干扰性能;第五,在速度能满足要求的前提下,尽量降低单片机的晶振和选用低速数字电路;第六,器件尽量直接焊在电路板上,少用IC插座。
4 数字电路抗干扰设计经验
(1)软件方面。第一,将不用的代码空间全清成“0”,等效于 NOP,或在跳转指令前加几个NOP,目的是可在程序跑飞时归位;第二,在无硬件“看门狗”时,可采用软件模拟“看门狗”,以监测程序的运行;第三,涉及处理外部器件参数调整或设置时,为防止外部器件因受干扰而出错,可定时将参数重新发送一遍,使外部器件尽快恢复正确;第四,通讯中的抗干扰可加数据校验位,采用3取2或5取3策略;第五在有通讯线时,将Data线、CLK线、INH线常态置以高位,其抗干扰效果要比置低位好。
(2)软件方面。第一,地线、电源线的布线要尽可能的宽,且成网格状;第二,线路要去偶;第三,数字地、模拟地要分开;第四,每个数字元件在地与电源之间都要加104电容;第五,为防I/O口的串扰,可将I/O口隔离,可用二极管隔离、门电路隔离、光偶隔离及电磁隔离等方法。
5 结语
数字电路信号在传输时需要考虑其完整性。从本文的探讨中得知,为了维持信号的完整度,在差模传输线中,越是高速的信号,其所容许的平行线长度差越小。因此对于高速数字电路差模传输线而言,应该尽可能保持两条线的长度一致,以避免信号的完整度受到破坏。然而在布线中难免会因为转角而造成平行线的长度不一致,如果其长度差仍在容许范围内,则信号仍可保有完整性。如果其长度差已经造成了信号的不完整,则需寻求改善长度差的方法,以维持信号的完整性。
参考文献:
篇2
【关键词】MAX+PlusⅡ软件;数字电路设计;实例应用
在当代社会,电子产品更新换代的速度越来越快,以往的电路设计方法已经适应不了这种挑战,随着可编程逻辑器件集成规模的不断扩大,EDA(Electronic Design Automation)技术在现代电子系统设计领域的优势已有所突显。
EDA技术是指以计算机为工作平台,融合了电子技术、计算机技术、智能化技术最新成果的现代电子设计技术。美国Altera公司推出的MAX+PlusⅡ软件被公认为是最易使用、人机界面最友善的PLD开发软件,现已成为电子系统设计、电子产品开发领域中一种全新的手段和便捷的方法。
一、MAX+PlusⅡ简介
MAX+PlusⅡ可编程逻辑开发软件结合了框图界面和交互仿真能力的系统级设计和仿真工具,不用搭建硬件电路,即可对自己的设计进行调试、验证,借助模拟示波器等虚拟设备直观显示仿真动态结果。而且设计者可以在友好的界面下很简便、高效地设计出各种复杂的专用IC。因此,MAX+PlusII对改善硬件系统设计环境,培养学生应用系统级设计的能力,培养具有创新精神的应用型、复合型专门人才有很大的推动作用。MAX+PlusⅡ软件的主要功能和特点有:
(1)设计输入、编译、校验、仿真、器件编程与配置全部集成在统一的开发环境中,可以加快动态调试,缩短开发周期。
(2)设计环境与芯片结构无关,它支持EPF10K等可编程逻辑器件系列,编译程序还提供强大的逻辑综合与优化功能。
(3)有丰富的模块化设计工具和器件库。
(4)支持VHDL, Verilog HDL和AHDL等硬件描述语言。
(5)提供Megacore系统级功能。
(6)具有开放性的特点,他允许设计人员添加自己的宏函数。
二、MAX+PlusⅡ设计流程
MAX+PlusⅡ软件提供了一种与结构无关的设计环境,其全面的逻辑设计能力,使设计者只需运用自己熟悉的输入工具(原理图、硬件描述语言)进行设计,就可以将文本、图形、波形等设计方法任意组合,建立起有层次的数字系统,MAX+PlusⅡ把这些设计转换成最终结构所需要的格式。而MAX+PlusⅡ的编译器则可完成资源利用的最小化和逻辑综合,把设计装配成1个或多个器件并产生编程数据。此外,还可进行功能仿真、定时仿真、延时预测等设计校验。使用MAX+PlusⅡ设计数字系统的步骤如下:
(1)设计输入 用户可使用MAX+plusII 10.0Baseline提供的图形编辑器和文本编辑器实现图形、AHDL、VHDL或Verilog HDL的输入,也可输入网表文件。
(2)编译 为完成对设计的处理, MAX+plusII10.0 Baseline提供了一个完全集成的编译器,可直接完成从网表提取到最后编程文件的生成。在编译过程中生成一系列标准文件可进行时序模拟、适配等。
(3)项目校验 项目校验过程包括功能和时序仿真,其作用是测试逻辑操作和设计的内部定时,若有错误则进行修改并重新编译。
(4)项目编程 将设计的项目编程/配置到所选择的器件中。
三、数字电路设计举例
本例用VHDL语言来实现8选1多路选择器,编写程序如下:
library ieee;
use ieee.std_logic_1164 .all;
entity mux is
port(D0,D1,D2,D3,D4,D5,D6,D7: in std_logic;
A0,A1,A2: in std_logic;
Q: out std_logic);
end mux;
architecture rtl of mux is
signal sel: std_logic_vector(2 downto 0);
begin
sel
B:process(D0,D1,D2,D3,D4,D5,D6,D7,sel)
begin
if(sel="000")then
Q
elseif(sel="001")then
Q
elseif(sel="010")then
Q
elseif(sel="011")then
Q
elseif(sel="100")then
Q
elseif(sel="101")then
Q
elseif(sel="110")then
Q
else
Q
end if;
end process;
end rtl;
上述8选1多路选择器经过时序仿真、功能仿真,仿真结果如图1所示,结果完全达到了设计目的,仿真通过后就可将设计结果编程/下载到目标器件中去。
四、结束语
EDA技术是电子设计的发展趋势,利用EDA工具MAX+PlusⅡ可以代替设计者完成电子系统设计中的大部分工作,能够方便灵活地设计出体积小而系统性高的数字电子系统,彻底地改变传统数字系统的设计方法、设计过程乃至设计观念,拓宽了电子设计和产品开发的思路,是电子技术设计领域的一场革命。
【参考文献】
篇3
关键词:数字电路 故障分析 检测 思考
中图分类号:TN407 文献标识码:A 文章编号:1007-9416(2012)07-0238-01
1、引言
在当前,在我国从事数字电路设计的研究人员越来越多,在数字电路的设计过程中,难免会出现这样那样的问题,要见解决这些问题,就必须完成数字电路的故障检测,这样才能够保证数字电路的设计的进行。
2、数字电路故障概述
熟悉数字电路开发的工作人员都知道,数字电路主要分为时序逻辑电路以及组合逻辑电路两种。如果说按照数字电路中有没有集成元器件来看的话,数字电路就分为集成数字电路以及元件数字电路两大类。数字电路主要是依靠算术运算以及逻辑运算两种运算来实现处理的,数字电路的实现过程比较简单,能够充分保证系统的可靠性。此外,随着硅电子技术的发展,数字电路的集成程度越来越高,在功能的实现方面更容易。
随着数字电路使用的普及,而数字电路本身的种类很多,而且功能的实现颇为繁杂,给数字电路的设计带来了很多的麻烦,很容易出现各种各样的故障。在数字电路故障的检测过程中,我们通常是将数字电路的故障隔离到电路板级,然后再对故障电路板进行逐一测试。在测试的过程中,向电路输入一定的测试信号,然后在电路的输出端,测试电路的输出信号,再将输出信号和预期信号进行比对,如果和预期信号不像符合,则可断定电路出现故障。
3、数字电路故障的特点
3.1 竞争冒险
所谓竞争冒险,就是指电路诸多输入信号量中,有一个门电路的输入发生改变时,导致输出端的状态响应发生时间上的改变,这种现象就是我们所说的竞争。竞争导致的直接故障就是冒险以及现象的发生。
3.2 电平方面出现的故障
在数字电路中,由于电平输入不当也会导致电路的故障。在数字电路中,对于电压值的判定都是依赖于高低两个电平信号,也就是说,高电压(高电平)表示正逻辑,低电压(低电平)表示负逻辑。但是数字电路中各个期间对于高低电平的判断又各不相同,也就是说,可以规定一个数字电路器件的高电平是3V、低电平是-3V,我们也可以规定高电平是5V、低电平是-5V,这就导致在信号输入的过程中,各个器件对于相同的电平值会有不同的逻辑判断,从而导致设计人员想输入高电平时,出现的是低电平效果。
此外,由于电平方面因素,在数字电路的测试过程中还会出现介于0和1之间的逻辑值,出现这种效果显然不能为电路设计者所接受,而出现这样一种现象的原因在于:第一,扇出系数过小,导致负载能力较差;第二,电磁的干扰,数字电路的高度集成性决定了数字电路中各种高频信号线、接插件以及集成电路的引脚在工作过程中会体现出一定的电磁特性,形成辐射干扰源,进而影响其他元器件的工作。
4、数字电路故障分析
数字电路的故障分析过程中,我们会针对竞争冒险和电平方面两种情况讨论,不同情况,不同对待。
4.1 竞争冒险方面
我们在对待竞争风险时,主要分为如下几个步骤:
首先,我们使用代数法对电路的静态功能冒险进行相应的分析。在电路的组合逻辑中,如果有一个输入量发生了变化,而且在电路变化的前后过程中都较为稳定,那么我们就要进行相应的卡诺图分析,等那个卡诺图中有P个以上的量发生改变的时候,我们就判定有发生冒险的可能。
然后,我们对电路加上选通脉冲信号,对电路进行相应逻辑的修改,并且根据逻辑的修改情况,分析出电路的输出函数。并判断输出函数中组成元素的逻辑发生变化时,能否产生负向过渡干扰脉冲,对电路进行分析。
紧接着,我们对电路进行加修改逻辑设计操作,这一方法也被称为增加乘积项法,可以对电路的逻辑进行适当的修改,从而消除电路中存在的冒险现象,在进行逻辑的修改过程中,要保证电路函数关系的不变。
要是还是不能分析出电路的故障所在,我们就要对电路进行输出端并联电容法,改方法又被成为电容滤出发,主要是面对电路在较慢速度的环境下工作时,在电路的输出端并联上相应的电容,将竞争冒险过滤掉,在操作的过程中,要避免输出端逻辑的错误。
4.2 电磁干扰的解决
电磁干扰是数字电路设计过程中的大问题,我们要充分保证印刷板表面的绝缘,并将电路中低阻抗部分接上屏蔽层。在接入屏蔽层的环节中,我们可以将电压跟随器的同相以及反相端要和系统当中的接地相连。
4.3 电平方面的故障
设计人员在设计过程中要对各种数字元器件,特别是集成电路的输入输出特性做到心中有数,在设计过程中一定要充分考虑相连两个元器件的输入输出特性,在必要时可以再元器件中间加入适当期间,以保证电路逻辑的正确。
5、结语
数字电路设计过程中经常会出现各种故障,本文对这一方面展开了分析和讨论,并结合古筝的成因,提出了针对竞争冒险方面、电磁干扰方面以及电平方面故障的解决方法,得出相关结论。
参考文献
[1]张兰,徐红兵.一种新的数字电路故障定位算法研究[J].电子科技大学学报,2004.
篇4
【关键词】避障;JK触发器;红外对管
1 引言
避障是智能体按照某一性能指标在遇到障碍时选择的一种行走处理方法,并依据某一性能指标搜索一条从起始状态到目标状态的最优或近似最优的无碰闯路径,是当今避障规划中的难点。在智能小车的行驶过程中,如果在前方遇到障碍物则可向其的左侧或右侧转向,以确保小车保持直线、无碰闯行驶状态、使行驶的路径达到最优、最短状态。鉴于上述原理,特对避障系统作如下设定:若上一次智能小车转向右侧,则在当前遇到障碍物时智能小车向左转,直到未探测到障碍物时停止转动并开始向前直行;若上次智能小车转向左侧,则在当前遇到障碍物时向其向右转,直到未探测到障碍物时停止转动并开始直行。
2 自动避障系统规划
设计智能避障系统时,首先需要检测障碍物与否存在,以达到检测障碍模块实时检测的目的;其次需对检测信号进行处理,从而产生控制智能小车行走的控制信号,故需要智能避障控制中心模块处理检测到的障碍信号;通过控制小车驱动行驶电路,调控行驶电机的方向。由此特设计由三个模块组成的避障控制系统:障碍检测电路、自动避障控制系统、行驶驱动电路,其系统控制结构如图1所示。
在障碍检测电路模块中,依据红外线的反射原理,通过红外对管收发红外线,以判断智能小车的前方是否存在障碍。在自动避障控制系统中,以检测障碍电路的输出作为本模块的输入,通过JK触发器作为控制系统的存储单元,实现对小车行驶方法的选择。在小车的驱动电路模块中,通过控制电路输出的控制信号作为驱动输入驱动电机的转动,实现小车的直行、左转和右转。
3 自动避障控制系统设计
3.1 自动避障控制系统简述
智能小车在行驶的过程中能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。置前端一个红外传感器,当遇到障碍物时传感信号X为高电平,否则传感信号X保持低电平。在智能小车上有两个控制信号Z0、Z1,分别控制智能小车的左右轮的转动,当Z0、Z1分别输出高电平时,控制行走轮上的直流电机转动,从而控制智能小车的行驶方向。
本系统设计采用如下避障规则:当Z0为高电平,Z1为低电平时,智能小车左轮电机工作而右轮直流电机断电,从而控制智能小车左转;当Z0为低电平,Z1为高电平时,其右轮直流电机工作而左轮直流电机断电,从而控制智能小车右转;当Z0Z1控制端同时输出高电平时,智能小车左右轮直流电机同时供电转动,控制智能小车直行。
3.2 避障控制系统状态表及状态图
由智能避障规则的简述可知,智能小车在行驶的过程中可能会出现以下四种状态:
(1)状态S0:当前向前行驶,但上一次遇到障碍物时是左转。此时当输入信号X=0时,次态仍为S0,输出Z1Z0=00;如果输入X=1,时,表示前方检测到障碍,其次态应为S1,输出Z1Z0=01。
(2)状态S1:当前智能小车在前方检测到障碍物,智能小车向右转。此时当输入信号X=0时,表示智能小车已经绕过了前方的障碍物,其次态应为S2,输出Z1Z0=00;如果输入信号X=1时,次态仍为S1,输出Z1Z0=01。
(3)状态S2:当小车正向前行驶,但上一次遇到障碍物时是右转。此时当输入信号X=0时,次态仍为S2,输出Z1Z0=00;如果输入X=1,时,表示前方检测到障碍,其次态应为S3,输出Z1Z0=10。
(4)状态S3:当小车检测到前方障碍物,智能小车向左转。此时当输入信号X=0时,表示智能小车已经绕过了前方的障碍物,其次态应为S0,输出Z1Z0=00;如果输入信号X=1时,次态仍为S3,输出Z1Z0=10。
通过上述过程所描述的控制系统状态如表1所示,其状态图如图3所示。
3.3 避障控制系统状态分配
在数字逻辑电路中,常用“0”和“1”两种状态来描述实际电路中产生的高低电平,故需要把所得到状态表中的各个状态用二进制码表示。现假设存在可通过输入来改变其状态的存储单元Q,可用两种状态“0”和“1”表示输出的存储状态。由于本系统包含2?个状态,故该电路应选用两级存储单元Q1和Q0,其四种状态:“00”、“01”、“10”、“11”,恰符合设计的要求。通过对上述状态图的分析,并依据状态分配些规则得到如下分配方案:S0—00, S1—01 S2—11 S3—10状态分配后的状态表如表2所示。
3.4 避障控制系统激励方程和输出方程
根据状态分配后的状态迁移表,可得到智能小车避障控制系统输出端Z1、Z0的输出卡诺图,如图4、图5所示。
通过对Z1和Z0的输出卡诺图的分析,Z和Z0输出方程为
根据状态分配后的状态迁移表,通过分析可得到自动避障控制系统的两级存储单元Q1、Q0的次态卡诺图,如图6、图7所示。利用次态卡诺图可以求得各个存储单元的次态方程。
通过对两级存储单元Q1、Q0的次态卡诺图的分析,Q1、Q0的次态方程分别为:
依据上述计算,得出了系统的输出Z1、Z0的输出方程和存储单元Q1、Q0的次态方程,但还需要选用合适的元器件来实现存储单元,从而实现控制系统电路四种状态的存储,以设计出控制系统的逻辑电路图。
3.5 避障控制系统逻辑电路图
鉴于本避障控制系统中的触发器属于时序逻辑电路的范畴,而“0”和“1”两种状态,可以作为锁存器在电路中使用,故电路的状态用触发器的状态来表示。在控制设计时还需要根据触发器Q1、Q0的次态方程,求出Q1、Q0的输入激励方程。本次设计中采用的是JK触发器,结合JK触发器的标准特征方程,可得Q1和Q0的标准特征方程分别为:
根据上述激励方程和输出方程,设计相应的门电路,结合方程(1)、(2)和Z1、Z0的输出方程,则可得到智能小车避障控制系统的数字逻辑电路图。
4 结语
本论文提出了一种智能小车自动避障系统的设计方案,通过检测障碍电路、自动避障控制系统,避障控制系统输出的控制信号驱动智能小车的行驶,实现了智能小车的避障处理。该系统基于数字电路的触发器为核心控制系统,解决了小车在行驶过程中遇到障碍时的避障工作复杂的问题,使避障规则简单化,提高小车避障的可靠性。
参考文献:
[1]郭小军.LM567及其在测距中的应用. 实验室研究与探索. 2007, 26(10):22~23
[2]来清民,张玉英.关于音频译码器LM567的使用. 河南教育学院学报(自然科学版). 2001,10(2):17~18
[3]刘长林,张铁中,杨丽.果蔬智能小车研究进展. 安徽农业科学. 2008,36(13):5394~5397
[4]龙桂铃,徐磊,侯英龙.基于单片机的智能车避障的实现. 2011,39(3):182~184
[5]郑文生,谢小平.基于LM567的红外测速方法.2008(11):23~25
[6]李东生,张勇,许四毛. Protel99SE电路设计技术入门与应用. 北京:电子工业出版社,2002
[7]刘大健,夏哲雷,卫力.集成锁相环路解码器LM567及其在检测电路中的应用.国外电子元器件. 2000(1):30~31
[8]闫晶.移动机器人避障系统设计. [硕士学位论文]. 沈阳:沈阳理工大学,2008
[9]杨欣,莱·诺克斯,王玉凤,刘湘黔.电子设计从零开始. 第二版. 北京:清华大学出版社,2010
篇5
关键词:EDA;数字电路课程设计;多功能数字钟
1.EDA技术[1]
EDA技术即电子设计自动化技术,英文全称Electronic Design Automation,它是以功能强大的计算机为工具,在EDA软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译、简化、分割、综合、布局布线及逻辑优化、仿真测试的电子产品自动化设计过程。
利用EDA技术进行电子系统的设计,具有以下几个特点:
(1)用软件的方式设计硬件,且用软件的方式设计的系统到硬件系统的转换是由相关的开发软件自动完成的;
(2)设计过程可用相关软件进行各种仿真;
(3)系统可现场编程,在线升级;
(4)整个系统可以集成在一个芯片上,具有体积小、功耗低及可靠性高的特点。
2.用EDA技术改进数字电路课程设计的必要性
数字电路课程设计是建立在数字电子技术基础上的一门综合实践性课程[2],有利于培养学生的系统综合能力和创新能力,对提高办学档次,满足社会对高素质人才的需求,培养学生对未来社会的适应能力都是受益匪浅的。通过这一课程的学习,学生能够熟练地利用EDA技术掌握较复杂数字系统的设计方法,进一步增强学生分析问题、解决问题的能力,充分挖崛和激发学生的创新潜能。
目前在数字电路实践教学中,大部分学校仍然采用中小规模的集成电路来实现设计功能,当设计的系统比较复杂,需要多个集成芯片和大量连线时,就增加了设计电路板的难度和故障调试难度,延长了设计周期,降低了学生的学习兴趣;同时,常用中小规模集成芯片的大量重复使用也大大增加了设计成本;因此,在数字电路课程设计中引入EDA技术,采用当前国际先进的设计方法和理念,改革传统的课程设计方法,已经成为一种趋势[3]。用中小规模集成电路设计的数字系统存在以上诸多缺点,而运用EDA技术、可编程逻辑器件设计数字系统就成为行之有效的方法。这种设计方法从系统总体要求出发,自上而下地将设计细化,将功能具体化、模块化;直到最低层的模块适合用硬件描述语言或原理图描述为止,最后形成数字系统的顶层文件;再经EDA软件的自动处理而完成设计。
QuartusII是Altera公司的第四代EDA开发软件,此软件提供了一种与结构无关的全集成化环境,将设计、综合、布局和布线、系统的验证都整合到一个无缝的环境中,使设计者能方便地对Altera公司的PLD系列产品进行设计输入、快速处理和器件编程。是应用广泛的EDA开发软件之一。CPLD/FPGA通称为可编程逻辑器件,其中FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程逻辑器件的基础上进一步发展的产物。目前,QuartusII开发软件和CPLD/FPGA器件作为EDA开发工具被越来越广泛的应用到大型数字系统的设计中。
3. EDA技术在数字电路课程设计中的应用
多功能数字电子钟的设计是数字电路设计中的一个典型应用,用中小规模集成电路实现时,用到的器件较多,连线比较复杂,可靠性差。下面就以基于ALTERA公司的FPGA器件CycloneII240C8芯片和QuartusII9.0EDA开发系统进行多功能数字钟的设计为例来介绍数字电路系统的一般设计方法。运用此种方法进行课程设计时,需要先掌握QuartusII软件开发环境的使用和硬件描述语言VHDL语言的编程,掌握相关CPLD/FPGA实验开发系统的使用。
(一)数字钟的设计要求
(1)具有时,分,秒计数显示功能,以24小时循环计时,由6个7段共阴极数码管显示;
(2)能够通过手动按键实现清零和调节小时、分钟功能;
(3)具有整点报时功能,当时钟计数为59’51”、59’53”、59’55”、59’57”时,扬声器发出频率为1024Hz的声音,在59’59”即到整点时,扬声器发出最后一声整点报时,频率为4096Hz。
(4)用VHDL语言来完成上述电路功能的软件设计和软件仿真,仿真结果正确后,在实验系统上进行由硬件电路的下载和调试。
(二)数字钟的设计方案
多功能数字钟电路的系统结构框图如图1所示,由系统时钟、控制电路、秒计数器、分计数器、小时计数器、译码器、显示器和扬声器组成;控制电路负责控制计数器计时、校时和扬声器报时,译码器将各计数器输出的BCD码计数值转换成七段码送到显示器,显示器显示时、分、秒计时结果。
介于所使用的实验系统中有现成的译码器和显示器部分硬件电路,故只对图1所示控制电路和时、分、秒计数器模块进行软件设计,由VHDL语言编写源代码来实现。
(三)数字钟的实现
在设计过程中采用层次化设计方法进行设计,编写源程序,为了简化设计把控制计时和调时部分功能放到计数模块中,报时部分专门用一个模块,故将数字钟的实现分成秒、分、时三个计数模块和一个报时模块构成,报时模块同时完成对报时输入信号的分频。
通过系统分析论证后,在QuartusII9.0环境下,用VHDL硬件编程语言编写数字钟的报时模块、秒计数模块、分计数模块和时计数模块源代码,即分别对应alert.vhd、second.vhd、minute.vhd、hour.vhd文本文件,对这四个模块分别进行编译、综合和仿真测试无误后,生成这四个模块的符号图,最后通过原理图连接的方式把以上各模块生成的图形符号连在一起形成顶层的原理图,实现多功能的数字钟。下面给出通过原理图的形式所设计的顶层原理图如图2所示,顶层设计文件为clock.bdf,顶层实体图如图3所示,当然也可以通过元件例化语句来生成顶层实体。
(四)功能仿真与下载
以上各个模块设计好以后,都可以利用软件进行仿真,得到正确的功能仿真结果后,在顶层的设计中调用各功能模块,完成顶层原理图或实体的设计,最后针对顶层的实体再进行功能仿真,仿真结果如图4所示,从仿真结果的部分截图中可以得到该数字钟能够实现正常计时的功能。
仿真正确后,选定好所选用的实验系统的配置芯片,锁定引脚,完成引脚配置,重新进行编译综合后,即可生成下载文件clock.sof,将此文件下载到选定的目标芯片,接上器件,完成整个系统的设计。经过在杭州康芯电子有限公司生产的GW48EDA/SOPC实验开发系统下载验证,该设计完全符合数字钟的功能要求。
4.结束语
通过将EDA技术应用于数字电路课程设计提升了学生对数字电路的认识,在设计过程中可以预先进行仿真,仿真有误可以修改设计,在这个过程中不必搭接电路,做到有错就随时修改,不用担心设计实验失败的风险。通过EDA技术不仅可以很好地锻炼学生的综合设计开发能力和动手能力,从而激发他们的学习兴趣,还可以大大节约数字电路课程设计实验的成本,提高设计效率,培养了他们解决问题的综合能力,因此,使用EDA技术必将是数字电路实践课程改革的新动向。
参考文献
[1] 潘松,黄继业. EDA技术实用教程.北京:科学出版社,2010.
篇6
关键词:钮孔缝纫机 555集成器 双主-JK-触发器
中图分类号:D05B 文献标识码:A 文章编号:1007-9416(2015)04-0000-01
1 LH4-B814MARK-2 平缝锁钮孔缝纫机的结构机械运动原理分析
1.1 平缝锁钮孔缝纫机的结构组成
LH4-B814MARK-2平缝锁钮孔缝纫机如图1所示,为该机器整机结构。主要由机台架、主机、控制箱、电机和脚踏板等组成。操作时,打开电源开关(在控制箱面板),然后只需对脚踏板进行操作即可,非常简便。
1.2 设备运动原理
LH4-B814MARK-2 平缝锁钮孔缝纫机是一台电动和气压结合动作的钮门缝纫设备。气压部分主要是为缝纫机压脚的升降提供动力,并且控制控制电动机动力的传动,即离合器的闭合和分离。电动部分主要是利用传统的接触器电路实现钮门缝纫的动作逻辑控制,并且产生机械运动的动力(由电动机完成)。设备结构和如下
图2所示,为设备供气回路,主要供给压脚架和电动机传递离合器。
图3所示,为当压脚放下行车时的工作状况。主要完成钮缝缝眼的加工工序。在操作时,打上电源按钮,则驱动电机运转,并且压脚在气压驱动下升起。当踩下脚踏开关时,压脚放下,并且行车,自动的完成一个钮门孔的加工工序。然后停车,压脚又自动升起。
2 LH4-B814MARK-2平缝锁钮孔缝纫机电气控制原理分析
LH4-B814MARK-2平缝锁钮孔缝纫机控制电路主要由传统的接触器和时间继电器等器件组成,其电路如图4所示。
2.1 LH4-B814MARK-2 平缝锁钮孔缝纫机控制电路元件功能介绍
在图4的控制电路中,各个器件作用如下:
KM:电动机控制继电器。主要控制单相电动机供电,电源开关QS闭合,则电动机就启动。
KA1:控制气阀A(线圈KA5)的通电和断电。KA1 工作,切断KA2、KA3、KA4的供电。
KA2:控制气阀B(线圈KA6)、时间继电器KT1的通电和断电。KA2得电,则切断KA1的供电。
KA3:中间继电器,起到保护控制作用,防止KA5和KA6同时得电。KA3工作时切断KA1线圈的供电。
KA4:时间继电器KT2的计时控制。
KA5:气阀A线圈,得电时,压脚升起;失电时,压脚放下。
KA6:气阀B线圈,得电时,传递电动机的动力,行车。
SQ:行程开关,是时间继电器KT2的计时起点。
QS:24伏电源开关,当QS闭合,电动机得电运行,KA1也同时得电,机器压脚升起,机器处于待机状态。
KT1:为时间继电器,是得电延时,延时时间为3S。得电时,开始计时,控制气阀线圈KA6通电时间。
KT2:为时间继电器,是得电延时,延时时间为2.5S。得电时,开始计时,控制气阀线圈KA5通电时间。即控制压脚升起的时间。
SB:脚踏行车开关。
2.2 LH4-B814MARK-2平缝锁钮孔缝纫机控制电路工作原理分析(图5)
2.3 控制电路的功能模块分析
通过以上对LH4-B814MARK-2 平缝锁钮孔缝纫机控制电路工作原理的分析,我们可以看出,该电路主要有以下三个功能块组成:
(1)定时功能块。其功能分别是完成2.5S和3S的通电延时,分别由KT1(决定行车时间)和KT2(决定压脚从行车到升起的时间,要求KT2+1S要大于3S。即压脚必须要在停车后才能升起)完成。
(2)逻辑控制功能块。其功能主要实现设备的动作要求,按照开关SQ、QS、SB和定时器KT1、KT2等器件的状态控制KA1和KA2的动作。功能主要由KA3、KA4和KA1、KA2本身来完成。
(3)驱动功能块。其功能主要实现接通和切断气阀线圈KA5和KA6的供电。要求开关要有0.7安以上的可过电流。在图中主要由KA1和KA2两个接触器来完成。
3 数字电路的设计实现
3.1 定时模块的设计
通过分析了电路的工作原理,我们对定时模块功能的实现主要通过555集成时基电路来实现。
(1)555集成时基电路分析。555集成时基电路又称为集成定时器或555电路,是一种数字、模拟混合型的中规模集成电路,应用十分广泛。外加电阻、电容等元件可以构成多谐振荡器,单稳电路,施密特触发器等。它是一种产生时间延迟和多种脉冲信号的电路,由于内部电压标准使用了三个5K电阻,故取名555电路。其电路类型有双极型和CMOS型两大类,二者的结构与工作原理类似。几乎所有的双极型产品型号最后的三位数码都是555或5567所有的CMOS产品型号最后四位数码都是7555或7556,二者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器。556和7556是双定时器。双极型的电源电压UDD=+5V~+15V,输出的最大电流可达200mA,CMOS型的电源电压为十3V~+18V,能直接驱动小型电机、继电器和低阻抗扬声器。
(2)555定时器(单稳态电路)。单稳态电路的组成和波形如图6所示。当电源接通后,Vcc通过电阻R向电容C充电,待电容上电压Vc上升到2/3Vcc时,RS触发器置0,即输出Vo为低电平,同时电容C通过三极管T放电。当触发端②的外接输入信号电压Vi
所以输出电压的脉宽
tW=RCln3≈1.1RC一般R取1kΩ~10MΩ,C>1000pF。
值得注意的是:t的重复周期必须大于tW,才能保证放一个正倒置脉冲起作用。由上式可知,单稳态电路的暂态时间与VCC无关。因此用555定时器组成的单稳电路可以作为精密定时器。
根据要求,KT2计时时间为2.5秒,选用电容C4的容量为47微法,所以P1可调电阻应调整为:
R=2.5/(1.1×47×10)-6=48356欧姆
KT1计时时间为3秒,选用电容C4的容量为47微法,所以P2可调电阻应调整为:
R=3/(1.1×47×10)-6=58027欧姆
在两个定时器选定了电阻大小后,其定时时间就确定了。在以后使用中,可以根据设备的工作需要计算和调节电阻的大小即可。
3.2 逻辑控制功能块设计
实现逻辑控制,当然就要使用数字逻辑门电路了。由于本电路的控制逻辑比较简单,所以只需使用“与”“或”“非”三个基本门电路就可以实现SN7408四2输入端与门、SN7404六反相器SN7432四2输入端或门。具体集成型号如图所示。
3.3 驱动功能块设计
在设备中,要驱动的对象是气阀线圈,其线圈的最大驱动电流为0.7A,驱动电流不小。如果直接使用触发器来驱动,则触发器难以胜任,所以,在这里主要通过触发器驱动晶体管的方式来驱动气阀线圈,器件以及电路如下:
(1)触发器型号及功能特性。触发器选用SN74107双主―JK―触发器。其逻辑功能如表1所示:
JK触发器在触发脉冲到来时,若两个输入端信号同为1,输出状态发生翻转,即原态为0就翻转为1,相反,原态为1就翻转为0。
JK触发器的触发方式为沿边触发,即触发信号的有效期为上升沿或下降沿瞬间。
(2)时钟信号发生电路(555多谐振荡器)。触发器的时钟电路方面选用的是555多谐振荡器,如图7 所示。
多谐振荡器的电路图和波形图如图(6)所示。电源接通后,Vcc通过电阻R1、R2向电容C充电。当电容上电vC=2/3Vcc时,阀值输入端⑥受到触发,比较器C1翻转,输出电压Vo=0,同时放电管T导通,电容C通过R2放电;当电容上电压Vc=1/3Vcc,比较器C2工作,输出电压Vo变为高电平。C放电终止、又重新开始充电,周而复始,形成振荡。其振荡周期与充放电的时间有关:
充电时间:
放电时间:
振荡周期:T=tPH+tPL≈0.7(R1+2R2)C
振荡频率:f=1/T=
占空系数:
当R2>>R1时,占空系数近似为50%。
由上分析可知:
1)电路的振荡周期T、占空系数D,仅与外接元件R1、R2和C有关,不受电源电压变化的影响。
2)改变R1、R2,即可改变占空系数,其值可在较大范围内调节。
3)改变C的值,可单独改变周期,而不影响占空系数。
另外,复位端④也可输入1个控制信号。复位端④为低电平时,电路停振。
根据控制电路的时钟要求,在JK触发器中的时钟频率应该高一些,以减小控制的时间误差。一般频率在100HZ以上的误差都比较小(相当于1/100秒的误差)。所以把可调电阻P3,P4调为:P3=P4=50k欧姆;这样则TI=T2。电容C7选用容量为1微法的电解电容。根据参数,计算出该电路的最低时钟频率Fmin为:
T1=T2=0.7×R×C=50000×0.7×1×10-6=0.035
T=T1+T2=0.075
Fmin=1/T=1/0.075=13.3HZ
(3)气阀开关(驱动晶体管)。为了满足驱动电流需要,在驱动气阀线圈时,不使用触发器直接驱动,而是让触发器驱动晶体三极管来控制气阀线圈的电流。这里,晶体三极管选用了大功率三极管3DD15。
其参数如表2所示:
3.4 LH4-B814MARK-2平缝锁钮孔缝纫机数字控制电路
通过以上各个功能电路模块的选择和分析,得出LH4-B814MARK-2平缝锁钮孔缝纫机数字控制电路如图8所示。
3.4.1 工作原理
合上电源开关,电动机运转。IC2 1.1脚为低电位,输出为低电位(即触发器1K为低电位),由于触发器1.J为高电位,1K为低电位,所以1Q输出高电位,驱动V2,气阀A线圈得电。此时,IC6、IC7两个定时器的2脚均为高电位,所以输出均为低电平。而触发器2K为高电平,2J为低电平,所以2Q输出为0,V1管截止,设备待机。
当脚踏开关动作,定时器T1的3脚马上输出高电位,并开始定时3S(3S一到,马上输出地电位,使得2Q变为低电位,V1截止)。IC3的1Y输出马上变成高电位,送到IC1的输入端。使得IC2输出由0变成1,从而使触发器的1K变成1,触发器翻转,V2截止。同时,2J得到高电位,使得2Q输出高电位,V1管得电,行车。
当行程开关受触发时,T2(IC6)定时器马上由0变成1,开始2.5S的计时。使得IC3输出保持高电位。当IC6计时结束,(此时IC7计时已经结束,V1管已经截止),IC3输出则跳变为0,IC2输出也随之为0,触发器1Q置1,V2得电,压脚升起。
3.4.2 其它器件选择
P1~P4:选用普通金属封装的可调电位器。
C1、C2、C4、C5、C7:为电解电容,耐压为25V。
C3、C6、C8:选用涤纶电容。
IC1:采用CW7805三端稳压集成器。其输出最大电流为1.5A。
4 电路的屏蔽
在解决电路屏蔽问题上,主要是给电路安装一屏蔽罩,避免电路干扰。考虑工作环境主要是静电和小信号干扰,所以在材料上选用了软铁皮来进行屏蔽。在开关线路等连接线也采用了屏蔽线材。在实际运用中,该电路能够优于继电器控制电路的,稳定精确的工作。在中遇到控制时间及时钟频率调节的问题都可以随意地通过调整相应的电位器得到解决。而且在以后更进一步的改进中元器件的数量也可以适当减小。
5 结语
本文介绍了兄弟牌LH4-B814MARK-2平缝锁钮孔缝纫机,并对它进行了结构机械运动原理分析,电气控制原理分析。通过对缝纫机电路的工作原理的分析我们用555集成电路和基本逻辑电路,JK触发器等组合成的数字电路来代替兄弟牌LH4-B814MARK-2平缝锁钮孔缝纫机原来的继电器控制电路。省去了原来繁琐的连线结构,解决了原来电路在应用中存在的维修率高、电路维修烦乱、维护,维修成本高等问题。使得改造后的设备能够正常工作,故障率大大减少。并且数字控制电路工作稳定,控制精确,成本低。使用中检修,维护十分方便,在实践中收到良好的效果。本文介绍的设计从试验的结果看,得以证明成功的应用。
参考文献
[1]金龄.《数字逻辑电路》.中国劳动出版社.第二版,2003.
[2]蒋颂军,何晓帆.常用集成电路实测数据手册,化学工业出版社,2006.
[3]张惠敏 主编.数字电子技术,化学工业出版社,2005.
[4]金雁飞,唐俊翟.数字电路与逻辑设计.冶金工业出版社,2003.
篇7
【关键词】测频;频率计;电路设计
1.相关理论概述
数字频率计采用数字电路制作成以十进制码来现实被测信号频率,对于周期性变化的信号频率能够实现有效的测量的一种仪器。它是教学、科研等工作中的基础测量仪器,在模拟电路和数字电路实验中有着重要的作用,其能够直接读出信号源所产生的不同频率范围的信号将会对实验产生很大的影响。频率计主要用在正弦波、矩形波等周期性信号频率值的测量等,它的拓展功能能够实现对信号周期及其脉冲宽度的测量,引起对信号源的接受敏捷度使得其称为试验箱中的重要组成部分。
信号频率测量方法按照工作原理可以分为无源测量、比较测量、示波测量及技术等测量方法。其中最常见的测量方法是电子计数器,在该种技术下,频率计实现单位时间内被测信号脉冲数的直接计数,并将其频率值以数字的形式显示。实现了对不同频率、精确度的测频需求,保障了测量结果的精确度和速度。
2.整形电路的设计
整形电路就像把模拟的信号转换成为二值信号,也就是使其成为只有高电平和低电平的离散信号。在电路设计时我们可以将电压比较器用作模拟电路及数字电路的接口电路,通过其把非矩形信号转换成矩形信号。在选择比较器时,我们要充分考虑影响信号接收和转换功能的各种因素。下图为其整体设计结构图:
首先,是信号传播可能存在的延迟及时时间。信号传播的延迟时间是比较器选择时所要考虑的重要参数,这种时间的延迟有当信号通过元器件时所产生的传输时间上的延迟和信号上升及下降的时间延迟,只有将延迟的时间降低到最小才能有效的缩短信号处理的时间。
其次,要充分考虑电源电压对比较器的影响。就传统而言,比较器一般需要正负 15 伏的双电源来进行供电或者需要达到36 伏的单电源进行供电,这种传统的比较器在一些工业控制中仍有使用的空间和发展前途但以不适应发展的主流。现在多数的比较器需要在限定的电压条件下进行工作,即在电池电压所能够运行的单电源单位内进行工作,因此对其提出了低电流和小封装等当面的要求,并且在实际的应用中比较器还应该具备一定的关断的功能。当具备上述条件是,比较器才能够在试验箱中得到有效的利用,保证频率计在不同电源电压条件下的正常工作。
再次,充分考虑功耗对比机器的影响。功耗的大小直接影响比较器使用寿命和工作效果,功耗越低时其比较器的耗损相对较低,使用使用寿命得到延长,然而功耗由于器件的运作速度相关,功耗降低的同时可能带来运作速度的降低,因此,在比较器选择时,充分考虑功耗与元器件寿命及其运作速度的关系,寻得一种最优组合。
最后,不可忽视门限电压对比较器的影响。器件的设置可以用来实现对门限电大的测量,门限电压的大小与电路抗干扰能力呈现一种正比例的关系但与其敏感度成反比例关系。当我们通过对门限电压的测量并通过一定的公式计算,根据实际工作的需要来确定门限电压的具体值。
当我们充分考虑上述影响因素时,便会有针对性的选择相应的新品用于单元电路的设计,从而实现信号在电路中的顺利传输,避免芯片烧坏等现象的发生。
3.计数电路的设计
实现对信号的整形后我们便要关注一些低频信号由于其上升速度等原因可能产生的计数影响,因此在电路设计时应该根据信号的特点来完善计数电路的设计。低频信号上升缓慢或者高频信号叠加于其中时会使得计数电路将该种抖动作为输入脉冲予以计数,从而产生计数上的误差。避免该种现象的发生,我们可以通过低通滤波器的使用来处理低频信号传输中可能产生的抖动,并经过滤波器滤除叠加的高频信号。而反相器的使用可以实现在滤波前把高频信号和低频信号予以分开,即仅使低频信号经过反相器实现滤波得到比较规则的矩形信号而高频信号则不经过该过程。经滤波后的矩形信号输入到单片机中,在单片机选择时,低电压、高性能是我们考虑的重要方面,同时还要选择体积较小功能相对较强的单片器,实现迅速有效的技术。单片机计数器的精确度和终端结构的类型都会影响计数结果,通过精密比较器的植入和振荡器电路的设置,实现频率计的精度和存储等方面的要求。在单片机选择时还应该考虑技术进步革新对于存储器程序的选择和更新的可能,并且考虑单片机大小对于整个电路系统的影响,保证程序写入的便利性。下图为其计数模块设计图:
此外,对于计数电路的设计还要考虑信号频率高低的不同对计数器可能产生的影响,实现单片机对不同信号频率进行分频处理。经过整形后的信号进入选定规格的反相器后,对不同频级的信号进行分级处理,单片机频率自动分辨处理能力的选择能够有效的降低一些频级信号的分辨和处理,保证计数器工作的效率和速度。同时计数器的显示值的大小根据信号的频值进行实现随机变动,实现对不分频信号、高频机低频信号的有效计数。
4.显示电路的设计
显示电路是数字频率计电路设计的重要组成部分,它负责将整形电路及计数电路处理的数据显示出来。在该电路设计时我们要考虑的因素便是显示材料的选择及数据显示的方式。LED 数码管的类型会对数据的现实产生一定的影响,而该种材质的数据显示方式又分为动态和静态两种。就两种现实方式的优缺点而言,静态现实具备较高的亮度,为我们及时准确的读取数值提供了视觉便利,且其接口编程相对容易,但是该种显示方式会占用较多的口线,显示的位数直接关系到锁存器的数量,这直接带来所用器件数量繁多和连线的庞杂 ;而动态显示相交而言能够避免上述一些缺点。在动态显示使用时,先确定未选实现选定未选的段码的显示,经过一定的延时再实现对下一选定为送段码显示,并依此循环。下图为其显示模块图:
其具体的工作流程可以解释为,单片机中不同的构建作为译码器实现信号的输入,由译码器的输出来确定数码管的选择位。将每个数码管的公共端与一个接有高电平的 PNP 三极管的集电极相连,同时将三极管的基极和译码器的输出端相连接,这样可以通过对软件编程来设置单片机中的不用位置构建,从而设计译码器的输入端,其输出端设为低电平且只设一位,从而使与其连接的三界关处于一种饱和的状态,实现对计数器数据的动态显示。实现显示器电路中各元件的有机连接后,还要注重送段码的相关问题,使得相应位数的送段码可以通过一定串行口在数码管上进行显示。
5.结束语
除上述电路设计外,电子频率计的设计还要注重电源、滤波等电路的设计,只有将各种影响其工作的单元电路的设计不断的精细化和完善时,才能有效的保证其工作的效率和在实验和工业中的使用效果。
【参考文献】
[1]沈亚钧.基于单片机的数字频率计设计[J].山西电子技术,2012(05).
[2]杨帆.数字频率计的设计与实现[J].科技广场,2011(09).
篇8
【关键词】EDA技术 发展背景 电子线路设计 应用分析
一、前言
作为现代电子设计技术的核心,EDA(Electronic Design Automation)技术是以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,以可编程器件PLD(Programmable Logic Device)为实验载体,依赖功能强大的计算机,在EDA工具软件平台上,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线)以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术的应用使得设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大的提高了设计效率,缩短了设计周期,节省了设计成本。EDA技术涉及面广,内容丰富,融合了的微电子、电路系统、计算机应用等多个学科。EDA技术的本质是电子产品的自动化设计过程,其相关设定分别如下:工作平台为计算机,设计语言为硬件描述语言,实验载体为可编程器件,应用方向为电子系统设计。在电子线路设计中应用EDA技术可实现一体化设计,周期时间大幅度缩短,设计效率得到进一步提升。因此,对EDA技术在电子线路设计中的应用进行分析,对于EDA技术的现代应用和电子线路设计的长足发展有着积极的现实意义。
二、EDA技术的产生背景与内容
电子设计自动化的简称就是EDA技术,因为现代社会计算机,集成电路和电子系统的高速发展,所以电子设计技术就应运而生了,他的出现可以提高人们对于电子电路系统设计的能力,这种技术对于应用电子技术,计算机技术和智能化技术都有集成,所以能够对于各种电子通信方面的设计进行辅助的设计,目前来看,该项技术主要是对于IC的设计,电子线路的设计以及PCB板的设计起到了一定的作用,而且在日常运用的范围较广,当前,因为电子技术和计算机技术对其的推进作用,所以在国家的各个行业都有了大量的应用,比如国防,昂天,仪器仪表,工业自动化等等,该项技术正在以惊人的速度发展,逐渐变成了当今电子技术发展的前沿。
(一)EDA技术的产生背景
上世纪后半期,计算机和集成电路迅速发展起来,电子技术面临着新的机遇和严峻的考验。因电子技术周期不断缩短,其与专用集成电路设计难度日益提升间的矛盾日益加剧。这一形势下,就需要应用高层次的设计工具和新的设计方法来解决这一问题,而EDA技术就是在这一现实背景下应运而生的。
(二)EDA技术的内容
EDA技术主要包括四方面内容:第一,可编程逻辑器件(大规模);第二,硬件描述语言;第三,软件研发工具;第四,试验开发系统。EDA技术在电子系统设计的应用过程当中,其四方面内容依次扮演着载体、表达手段、设计工具、下载与硬件验证工具。
三、EDA技术的发展
回顾自20实际90年代初到如今近30年电子设计技术的发展历程,EDA工具的发展经历大致可划分为三个阶段:计算机辅助设计(CAD),计算机辅助工程(CAE)和电子设计自动化(EDA)。
(一)计算机辅助设计CAD(Computer Aided Design)阶段
20世纪70年代是EDA技术发展的初期阶段,人们开始使用计算机辅助进行IC版图编辑和PCB布局布线,使设计者从繁琐,重负的计算和绘图中解脱出来,由于PCB布局布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能较差。
(二)计算机辅助工程设计CAE(Computer Aided Engineering)阶段
20世纪80年代为CAE阶段,此时EDA工具主要以逻辑模拟,定时分析,故障仿真,自动布局和布线为核心,如果说CAD工具代替了设计工作中绘图的重复劳动,则CAE工具则代替了设计师的部分工作。然而,大部分从原理图出发的EDA工具仍不能满足复杂电子系统的设计要求。
(三)电子设计自动化EDA(Electronic Design Automation)阶段
20世界90年代,设计工程师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。可以说,20世纪90年代EDA技术的发展是电子电路设计的革命。
四、EDA技术在电子系统设计中的理论应用
(一)EDA技术在电子系统设计中的应用优势
在电子系统设计中应用EDA技术,使得设计人员不必通过门级原理图来对电路进行描述,而只需对设计目标功能作出描述。电路细节方面的的束缚得以摆脱,设计人员能够将更多精力放在概念构思和创造性方案上。而当通过高层次描述将这些概念构思输入计算机后,EDA技术便可以规则驱动形式来实现整个设计的自动完成。这样,新概念能够有效迅速地转化为产品,产品研制周期大大缩短。
(二)EDA技术在电子系统设计中的基本应用步骤
高层次设计法是EDA技术在电子系统设计应用中的有效形式,其基本步骤如下:第一,通过“自上而下”形式的设计手段来划分系统;第二,完成VHDL代码的输入,并应用图形法来EDA实验室进行仿真输入;第三,对设计输入做编译处理,使其转化为VHDL标准文件;第四,采用仿真器来优化处理VHDL源代码,进而生成网表文件;第五,参考网表文件,应用适配器件来对对具体目标器件做逻辑映射操作;第六,经下载电缆或编程器来讲器件编程文件载入目标芯片中,如需更换综合库,只需通过ASIC的形式即可完成。
五、EDA技术在电子线路设计中的现实应用
(一)分频器的设计要求
分频器是基本的电子线路,依据设计的不同要求,通常会遇到半整数分频、整数分频等,等占空比、非等占空比也会成为设计有时的要求。同一设计中,多种形式的分频要求也往往存在。鉴于EDA技术的设计应用,本文将设计目标定位基准信号整数分频的实现。
(二)分频器的设计思路
假设系统输入信号为时钟信号,分别设定其频率、周期、占空比为60MHZ、20微秒、30%。之后将输入信号视作敏感信号,并进行4分频处理,这就就得出相应的输出信号。同时,设置一个复位信号于另外系统中,并配备相应计数器,随之融入进程中即可实现设计目标。
(三)分频器的设计实现
分频器的设计实现分六步来进行,第一步,找到应许程序中的QuartusII标志,将其打开;第二步,进行新工程项目的建立。在已有工程项目完成的情况下,作“Open Existing Project”的单击处理,并对项目保存路径进行选择。这里,即可应用原有文件夹,也可建立新文件夹,随之输入相应的项目名称,便可在项目中完成文件的加载。之后,进行FPGA芯片的选择,以试验箱芯片型号为依据来作出选择,并通过对芯片封装、引脚数、速度三栏自上而下的选择,来将芯片选择范围进一步缩小。完成芯片选择后,来对所需调用的EDA工具作出选择,因本文不涉及调动,故可直接点击下一步,待出现工程对话框后,点击完成即完成本步操作;第三步,建立硬件描述语言文件。单击工具栏File菜单栏正下方的New图标,输入已经编写好的语言程序于程序输入框内。待输入完毕后,加以保存并确定文件名(文件名应与硬件描述语文和工程名中的模块名相一致)。这时,单击工具栏中编译图标,如无错误,电机确定即可,如弹出警告信息,其信息中对设计问题有相应的说明;第四步,建立仿真波形图。类比于上一步骤,不同之处,在于选择“New”中的波形文件,双击其下空白处,进入到时序仿真端口当中,单机“OK”即完成仿真端口的选择;第五步,仿真。在菜单栏中对仿真截止时间进行设置,通常情况下位20微秒。之后,进行输入的设置,在时钟对话框中对起始时间、周期、结束时间进行设置。最后对低电平或高电平数据范围进行选择,完成后保存,且注意应保持波形文件同模块名、项目名的一致性;第六步,编译。对仿真波形图进行编译,使其每隔四个时钟周期,能够在输出端得到等占空比的四分频波形。之后,改变占空比,或对计数器技术状态值作出稍微改变,多种形式分频随即实现。
六、结束语
通过论述EDA技术在电子线路设计中的现实应用,可以看出,EDA技术简化了繁琐的设计工作,表现出较好的应用效果,能够满足电子线路的设计要求。21世纪是EDA技术的发展高速期,其应用正在朝着数模混合电路和模拟电路的方向迈进,EDA技术必将突破电子设计范畴,来进入其他领域。且随着EDA技术设计应用的日益成熟,其定将在设计领域得到更为广泛的应用。
参考文献:
[1] 邱军兴.EDA技术在电路设计中的地位和作用[J].西安文理学院学报.2009(8).
[2] 贾民力.EDA仿真技术在电子线路设计中的应用[J].青海大学学报.2009(23).
[3] 崔葛.基于FPGA的数字电路系统设计[M].西安:电子科技大学出版社.2008 .
[4] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).
[5] 马楠.周焱.EDA在射频电子电路设计中的应用[J].山西电子技术.2005(2).
[6] 王树昆等.EDA仿真环境的研究与应用[J].山东省青年管理干部学院学报.2006(1).
[7] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).
[8] 王平.EDA技术的电子系统设计[J].中国科技博览.2011(38).
[9] 杜玉远.EDA设计快速入门[J].电子世界.2004.
[10] 路而红.电子设计自动化应用技术[M].北京:高等教育出版社.2006.
[11] 徐宏庆.电子线路设计中仿真设计软件的应用[J]..中国现代教育装备.2010(2).
[12] 高有华,龚淑秋,李忠波.基于EDA电子线路的仿真研究[J].沈阳工业大学学报.2002(4).
篇9
关键词:数字电压表单片机模数转换
0 引言
数字万用表作为电气参数测量的必备工具已取代模拟万用表广泛使用,但普通数字式万用表测量电压时,反应速度慢,不能实时记录电压变化,而具有存储功能的数字示波器价格高体积大,使用不便。设计出了一种基于单片机的具有记录功能的简易电压表,经试用,该数字式电压表可用于电压的动态测量采集,并取得了较好的效果。该数字表稍加改进可用于电流、电阻等参数的动态测量采集。
1 系统结构
简易数字电压表系统结构如图1所示,由单片机、放大电路、LCD显示模块、按键和电源模块。配通讯适配器,可通过RS232C接口与PC通讯,实现在线测量。
2 硬件设计
LCD显示模块采用LCD1602A。LCD1602A内置字符发生器ROM,可提供160种工业标准字符,包括全部大小写字母、阿拉伯数字及日文片假名以及32个特殊字符或符号,容量为16×2字符,可据微处理器供电电压选择DC3.3V或DC5V供电方式。DC5V供电时工作电流2mA,适用于低功耗便携式仪器仪表。LCD1602A引脚功能见表1,指令见表2。
单片机使用深圳宏晶STC12LE5A60S2,该型号单片机DC3.3V供电,内部集成8路10位A/D,转换速度达25万次/秒,用于外部电压信号的采集;集成的1280字节RAM用于存储采集数据,缓冲PC通讯数据;集成2路串行通讯接口,通过通讯适配器实现与PC机通讯。
电源模块采用锂电池供电,通过稳压模块LM1117实现DC3.3V输出,为单片机和LCD液晶模块提供电源。放大电路采用LM358设计的同相比例放大电路。通讯适配器采用MAX3232CPE制作,该芯片支持DC3V-5V工作电压,可将TTL电平转换为RS232C逻辑。
3 软件设计
软件由主程序、定时中断服务程序和串口通讯中断服务程序构成。主程序,流程图如图2所示,完成定时器、串行通讯口、LCD1602和ADC的初始化后,循环检测用户按键状态并实事显示测量电压信息。用户通过按键调节采样频率,确定是否将A/D数据上传上位机。
定时中断服务程序,流程图如图3所示,主要完成A/D的采集。考虑到启动A/D转换后需等待转换完成,则设定A/D的读取在下一次定时时间到时读取,在上位机显示界面中可以加时间修正。下位机由于采用LCD1602液晶,显示刷新频率不高,可以忽略这短暂延时。
串口通讯中断服务程序实现单片机与上位PC机通讯。由于采用RS232C接口,受通讯速率的影响,建议实时采样频率设定不高于500次/S。
篇10
电子束溶炼技术(EBM)是冶金溶炼技术领域里的一个重要分支技术,在尖端金属冶炼领域中占有着重要地位,同时也是未来冶炼领域里的一个重要组成部分。从本质上来讲,电子束的冶炼就是在真空环境较高的条件下,先通过加热的手段使负载电阻丝产生电子,然后通过一定的高压使电子进行高速运动,最后将高速运动的电子束流的动能转化为热能从而冶炼金属的一种溶炼方法。它主要针对于难溶金属进行冶炼,比如鹤、钽、银、银、铪、铬、银、错和钛等溶点较高,轻易难以融化的金属。在难溶金属的冶炼领域里面,钛合金是其中一种使用开发程度较高的金属。金属钛是自上世纪中叶被人们逐步发现并加以利用的一种金属,相比于其他难溶金属而言,金属钛的强度很高,且不容易被腐烛,在温度较高的条件下依然能保持自己本身的特性,所以钛合金在高温、恶劣、特殊的环境下被广泛使用;如航空航天领域、军工化工制造领域、汽车医疗领域等。在钛合金的优质特性逐渐被人们发现之后,慢慢被人们所熟知,许多国家开始着手于钛合金的冶炼与开发。到了上个世纪五六十年代,钛合金在航空航天飞行器的发动机的使用上发挥了重要的作用。到了上个世纪80年代以后,钛合金的应用得到了进一步的发展,得益于军工领域的进步,钛合金在火箭、导弹等装备设施上得到了更多的应用[2]。正由于以钛合金为代表的难溶金属在工业以及民用发展的进程中得到了广泛的应用,为电子束焰炼技术的发展提供了重要的基础[3]。
1.2课题背景及意义
电子束溶炼炉电源的发展趋势是大功率、高频化、小型化。目前国内的开发应用水平与国外发达国家的先进水平仍有很非常明显的差距;其中美国ATI公司已经成功生产出由8支电子枪同时工作,总功率达到5.6MW的溶炼炉,冶炼功率等级为世界最大;德国溶炼炉产业以ALD公司为主要代表,公司成功生产出单台功率为600kW的电子枪,4台电子枪同时运转功率能够达到2000kW。在我国,北京有色金属研究院开发出4台电子枪同时工作可提供2.4MW的大型高效电子束冷床炉。但是目前世界各大公司生产的电子束溶炼炉电源主要还是釆用传统的工频升压方式,高频电源的开发仍是未来电子束溶炼炉的发展的难点和热点。
1.2.1电子束溶炼炉的发展历史
电子束的概念第一次出现在人们的视野中是在上个世纪的80年代,美国的Temescal冶金公司在1957年首度使用电子束进行了对金属钛等难溶金属的冶炼,此时才正式开启了商业方面对电子束溶炼的运用的时代。而到了 20世纪60年代,横向电子枪技术相对成熟起来,能够投入使用,并且己经能够对直径达到80的组锭和鹤锭进行冶炼。到了上世纪80年代中期,过去的横向电子枪己经完全被现在新式的轴向电子枪所取代,现在电子束溶炼炉的溶炼能力得到了质的飞越。在90年代后期美国提出了冶炼的新思路,将需要溶炼的金属放置在溶炼的容器内进行冶炼的同时,另一个溶炼装置同时进行准备,这样的搭配使溶炼的效率和能力都已经大幅提高[9]。
1.2.2电子束溶炼炉的工作原理
电子束溶炼是利用大功率电子束流,通过控制电子束流的功率,束流的大小,进行难溶金属的溶化与冶炼,通过凝固结晶后将杂质去掉,提纯、结晶的一种冶炼方法[4]。电子束熔炼炉的主要结构包括三个部分组成:(1)电子枪。(2)电源系统(3)电子束控制系统。电子枪是用于发射电子束的设备,电源系统分别由灯丝电源、轰击电源、加速电源三部分组成,用于电子枪不同部分的供电使用。电子束控制系统负责完成对电子束的聚焦和偏转。电子束以极快的速度发射到金属表面,将动能转化为热能并将金属溶化达到溶炼的目的。
如图1.1所示为电子束溶炼炉电子枪结构示意图,它的基本工作过程如下所述
3)灯丝电源通过输出稳定的电流对灯丝进行加热,灯丝通入电流后产生高温并在其周围溢出少量电子;
4)轰击电源将灯丝周围产生的电子轰击到阴极板上;
5)阴极板受到高速电子的轰击,温度急剧升高,并在其周围产生电子密度极大的电子
6)在阴极板与馆炼金属之间加入高压加速电源,使电子形成电子束,溶化金属,达到冶炼、提纯的目的。
2灯丝电源系统结构设计及控制策略
灯丝电源是电子束溶炼炉电源系统的重要组成部分。灯丝电源系统主要功能是对灯丝负载两端进行加热,负载在通过较大的电流之后温度升高发射出大量电子,然后供给后级电源继续进行处理。在已经成熟的电子束系统中最常用的办法是通过闭环的调节和控制使电流最终达到一个稳定的状态,从而让灯丝电流达到稳定的电流输出,能够使溢出电子的数量达到一个稳定的平衡,如果灯丝的电流能够稳定,最终会促使怀炼炉电子束流也随之稳定。在电子束溶炼的过程中,灯丝电流的大小与稳定程度直接影响电子束流的大小,从而成为影响溶炼功率的重要因素。
2.1电子束溶炼炉灯丝电源的结构
电子束溶炼炉灯丝电源系统的结构主要包括:
1)不控整流部分
2)Buck变换器
3)全桥逆变部43分
4)降压隔离变压器
5)采样电路、控制电路与过流保护电路
2.2灯丝电源的工作原理
灯丝电源的作用在于使灯丝通过电流而溢出电子,然后提供给后级电路,灯丝电流的大小以及其稳定程度最终影响溶炼炉电子束流的大小。而电子束流的大小与稳定程度直接决定了溶炼过程中的金属产量和质量。本课题所设计的电子束溶炼炉灯丝电源为一个高频交流电源,输出电流范围为交流0-20A可调,输出电压稳定在交流0~10V。
2.2.1主电路基本原理
电子束溶炼炉灯丝电源的拓扑结构如图2.1所示。电源主回路的部分主要由下面几个单元构成:不控整流部分;Buck变换器部分;全桥逆变部分;高频降压变压器部分。在电子束恪炼炉中灯丝电源与轰击电源以及加速电源部分串联组成,所以输出端必须有变压器对其进行隔离,可起到保护低压控制回路的功能。单相工频220V交流输入电源经过不控整流滤波后,得到280V左右的直流电压,直流电压经过Buck变换器的电压调整将Buck变换器电压输出控制在180V;所得到的直流电压经过全桥逆变器后逆变为高频的交流方波,最后经过降压隔离变压器可得到10A的交流电流。
2.2.2 Buck变换器的工作模式
- 上一篇:公司文化营销
- 下一篇:乡镇节能减排工作总结