电路设计技术与技巧范文

时间:2023-10-12 17:17:20

导语:如何才能写好一篇电路设计技术与技巧,这就需要搜集整理更多的资料和文献,欢迎阅读由公务员之家整理的十篇范文,供你借鉴。

电路设计技术与技巧

篇1

关键词:高速铁路;桥梁建设;设计特点;关键技术

中图分类号:U238文献标识码: A

近年来,随着我国高速铁路的飞速发展,高速铁路的技术体系也在不断的完善,主要包括:工程建造技术、高速列车技术、列车控制技术、系统集成技术和运营维护技术。其中,由于我国自身地理环境的复杂性和多变性,对高速铁路的工程建造中桥梁建设的发展提出了越来越严格的要求。

1 高速铁路桥梁建设概述

在现代高速铁路建设中,桥梁设计与建造技术已成为关键技术之一。桥梁是高速铁路土建工程的重要组成部分,主要功能是为高速列车提供平顺、稳定的桥上线路,以确保运营的安全和旅客乘坐的舒适。高速铁路技术就是通过改造原有线路(直线化、轨距标准化),使营运速率达到每小时200 公里以上,或者专门修建新的“高速新线”、使营运速率达到每小时 250 公里以上的铁路系统。高速铁路除了使列车在营运达到速度一定标准外,车辆、路轨、操作都需要配合提升。我国高速铁路运营状况的现状是设备质量可靠、运输安全稳定、经营状况良好。无论是线路基础、通信信号、牵引供电等固定设备、还是动车组等移动设备、质量稳定,运行平稳。高速安全保障体系日趋完善,职工队伍素质过硬,保持了良好的安全记录没有发生旅客伤亡事故,并且高速铁路受到广大旅客的青睐,市场需求旺盛。这些都离不开铁路桥梁的建设。广义的高速铁路包含使用磁悬浮技术的高速轨道运输系统。为了满足高速铁路列车设计、施工及运营等各方面的要求,高速铁路桥梁应具有构造简洁、设计标准、便于施工架设和养护维修的特点,另外还应具有足够的耐久性和良好的动力性能。在我国现在的铁路桥梁建设中主要运用一些方法来满足列车高速、舒适、安全行驶的要求,才能使桥梁必须有足够的刚度和良好的整体性,设计必须满足结构、自振频率、竖横向挠度和徐变上拱限值。桥梁设计必须满足车桥动力响应的各项指标,按刚度控制设计强度进行检算。为了保证列车运行 的连续且平顺并确保跨区间无缝线路钢轨附加应力不超限,对下部结构的刚度、工后沉降、沉降差做了严格的限制,并按车桥相互作用模型进行桥上长钢轨纵向力分析,使桥梁下部的设计更为合理。按耐久性设计作为主要的设计原则并且强调结构与环境的协调、重视生态环境的保护、注意了结构外形、色彩、防震降噪。对于我国的铁路桥梁建设从各个方面将实现建设世界一流高速铁路的宏伟目标,我国现在大力推进体制创新、管理创新、技术创新。在体制创新方面,创建了合资建路的崭新模式。并且对于铁路桥梁建设管理方面等,需要充分发挥我国铁路路网完整、运输集中统一指挥的优势,统筹利用铁路内外的各方面科研力量和人力资源,形成强大合力。在铁路建设中,无论是工程管理部门,还是设计、施工、监理单位、都协调行动,组织起了强大的工程建设队伍,在技术装备制造中、无论是运营单位还是制造企业、科研院所、都统一步调,形成了强大的研发制造体系。这种科学高效的管理模式,大大提高了我国高速铁路桥梁的建设。

2我国高速铁路桥梁建设的设计特点

由于速度大幅提高,高速列车对桥梁结构的动力作用远大于普通铁路桥梁。桥梁出现较大挠度会直接影响桥上轨道平顺性,造成结构物承受很大冲击力,旅客舒适度受到严重影响,轨道状态不能保持稳定,甚至危及列车运行安全。这些都对桥梁结构的刚度和整体性提出了极高的要求。

2.1 高架桥所占比例大。

高架长桥多桥梁在高速铁路中所占的比例较大,主要原因是在平原、软土以及人口和建筑密集地区,通常采用高架桥通过。京津城际铁路桥梁累计长度占全线正线总长的比例为86.6%,京沪高速铁路为80.5%,广珠城际铁路为94.0%,武广客运专线为48.5%,哈大客运专线为74.3%。

2.2 大量采用简支箱梁结构形式。

根据我国高速铁路建设规模、工期要求和技术特点,通过深入的技术比较,确定以32m简支箱梁作为标准跨度,整孔预制架设施工。预应力体系有先张法和后张法两种。少部分采用12 m,16 m跨度的T形梁,预制吊装。

2.3大跨度桥多。

受国情路况的制约,我国客运专线中,跨度达100 m及以上的大跨度桥梁很多。据统计,在建与拟建客运专线中,100 m以上跨度的高速桥梁至少在200座以上。其中,预应力混凝土连续梁桥的最大跨度为128 m,预应力混凝土刚构桥的最大跨度为180 m,钢桥的最大跨度为504 m。

2.4桥梁刚度大,整体性好。

为了保证列车高速、舒适、安全行驶,高速铁路桥梁必须具有足够大的竖向和横向刚度以及良好的整体性,以防止桥梁出现较大挠度和振幅。同时,还必须严格控制由混凝土产生的徐变上拱和不均匀温差引起的结构变形,以保证轨道的高平顺性。

2.5限制纵向力作用下结构产生的位移。

避免桥上无缝线路出现过大的附加力。由于桥梁结构的温度变化、列车制动、桥梁挠曲会使桥梁在纵向产生一定的位移,引起桥上无缝线路钢轨产生附加应力,过大的附加应力会导致桥上无缝线路失稳,影响行车安全。因此,要求桥梁墩、台具有足够的纵向刚度,以尽量减少钢轨附加应力和梁轨间的相对位移。

2.6改善结构的耐久性,便于检查和维修。

高速铁路是极其重要的交通运输设施,桥梁结构物应尽量做到少维修或免维修,因此,设计时需要将改善结构物的耐久性作为设计原则,统一考虑合理的结构布局和构造细节,并在施工中加以严格控制,保证质量。另一方面,高速铁路运营繁忙,列车速度高,维修时间都放在夜间“天窗”时间进行,一般为4h,因此桥梁结构构造应易于检查和维修。

3我国高速铁路桥梁建设的关键技术

3.1大跨度桥梁设计建造技术

高速铁路桥梁通常宜采用小跨。但由于跨越大江、大河和深谷的需要,高速铁路大跨度桥梁的修建也不可避免,而我国高速铁路大跨度桥上速度目标值与其他路段保持一致,这也增加了大跨度桥梁的设计建造难度。主要设计建造技术包括:采用更高强度等级钢材、应用新型空间结构、研制大跨重载桥梁专用装置、采用深水基础施工新工艺等。

3.2无缝线路桥梁设计建造技术

桥上无缝线路钢轨受力与路基上钢轨受力不同,桥梁自身变形和位移将使桥上钢轨承受额外的附加应力。为了保证桥上行车安全,设计应考虑梁轨共同作用引起的钢轨附加力,并采取措施将其限制在安全范围内。钢轨附加应力包括制动力、伸缩力和挠曲力。经过多年的专题研究,目前我国系统建立了无缝线路梁一轨作用的力学模型,通过相应的模型试验和实桥测试验证了分析模型和理论的可靠性,制定了相应的技术控制指标。

3.3“车―线―桥”动力响应仿真技术

为保证列车高速、舒适、安全行驶,高速铁路桥梁必须具有足够大的刚度和良好的整体性,以防止桥梁出现较大挠度和振幅。我国从20世纪80年代初就开始进行“车―线―桥”动力相互作用理论和应用研究,建立和发展了多种分析模型,制定了相应的评定标准。在铁道部组织的桥梁动力性能综合试验中,试验车创造了300 km/h以上的速度纪录,验证了我国“车―线―桥”动力仿真分析方法的有效性和评定标准的可信性。通过多年科研攻关和工程实践,基本掌握了高速铁路“车―线―桥”动力响应作用机理。

3.4 无砟轨道桥梁设计建造技术

在无砟轨道桥梁设计中追求构造简洁、美观,力求标准化、便于施工架设和养护维修,确保其足够的耐久性和良好的动力性能,关键在于解决梁体的刚度和变形控制技术。通过对梁体的竖向挠度、水平挠度、扭转角、竖向自振频率等主要技术参数的研究,以及对预应力混凝土梁徐变上拱的控制研究,使桥梁结构能够满足无砟轨道铺设条件。目前我国已基本掌握了高速铁路无砟轨道桥梁的设计建造技术。

3.5 高架长桥快速施工技术

正在建设的高速铁路桥梁长度占线路长度的比例远远大于普通铁路,并出现了一些长度大于l0 km、甚至达到上百千米的特长高架桥。标准跨度简支梁一般采用在沿线现场预制梁厂集中预制,并以配套运架设备逐孔架设的施工方法,特殊跨度的连续梁采用原位浇筑的施工方法。通过工程实践,形成了一系列成熟的标准梁制、运、架工艺及相应装备,高质量、高速度地实现了特长桥梁的建造。

3.6900t级整孔简支梁制造运输架设技术

为解决32 m整孔预制箱梁的运架施工问题,国内自主研制了多种形式的450 t级提梁机、900 t级架桥机,900 t级运梁车、900 t级移动模架造桥机等,从建场、制梁、移运、架设等方面摸索出整套制梁技术,具有较好的施工效率、安全性与可靠性。

4结语

不断发展中的中国高速铁路表明,高速铁路在我国还有进一步提高的空间和潜力,这需要充分利用自身优势,促进我国高速铁路的跨越式发展。因此,在未来得一段时间里,不但要持续发展高速铁路,并且要在技术和管理上赶超一些发达国家,从而实现中国铁路现代化。由此可见,高速铁路对中国及其经济发展的重要性,中国高速铁路的发展需要桥梁建设等基础设施的支撑,需要专业技术的不断提高和突破。那么,在我国科研和发展的支持下,在广大施工一线的工人群众的大力支持下,我国发展高速铁路将会有更大的进步,前景也将会一片光明。

参考文献:

[1]刘春.中国高速铁路桥梁架设设备行业研究及展望[J].建设机械技术与管理,2009(2)

篇2

题目的选取既要符合教学大纲的要求,能充分体现本课程所学的主要内容,使学生在设计过程中能综合应用所学的知识,发挥基本技能,又要尽可能反映科学技术的先进水平,并且具有一定的实用性。就电子技术课程中有关数字逻辑方面的选题示例如下:①简易交通灯控制逻辑电路设计;②波形发生器;③数字温控仪;④抢答器电路设计;⑤音乐彩灯控制器;⑥逻辑电路控制的公共汽车语音报站器。以上选题,除注意使学生的理论知识,技能技巧得以巩固加深,综合和发展外,还考虑了设计的难易程度,工作量大小,元器件造价的高低等等因素。

随着科学技术的发展,大规模集成电路越来越普及,专用集成电路大量涌现,各种新的电子器件不断问世,给课程设计带来了勃勃的生机,不仅大大减少了单元电路设计的工作量,也会把课程设计的质量推上新的水平。各种各样的集成一体化电源的出现,使设计人员减免了电源电路设计计算,器件组装、电路调试诸项工作,只是适当选型即可。数字显示部件中的五合一电路CL413和LCL331等新产品,将计数、锁存、译码、驱动、显示五种功能集于一体,也大大减少计者的工作量,并且这些新产品具有功耗低,高可靠性、寿命长等优点,会大幅度提高设计电路的性能指标。在设计中新产品新技术的应用会大大缩短设计周期。所以,设计内容也要不断更新,难度、工作量、成本核算也要作相应变更,与科学技术发展的步调一致。

简言之,设计选题的原则是,从生产和科研需要出发,选择既能全面考核学生掌握本课程所学知识的程度,能够使学生加深并拓宽综合理论知识,又利于锻炼学生分析问题和解决问题的能力的课题,同时还要考虑学校的课程设计资金情况和教学安排的时间。有些学生在电子技术方面起步较早,对实际电子电路比较熟悉,可鼓励他们自选课题,由指导老师按教学要求进行审定后实施。

保证课程设计质量的关键是充分发挥学生主体性

首先要明确课程设计的重要性。在指导课程设计的动员会上,笔者就向大家讲明,我院工业电气自动化专业,只设置了“电子技术课程设计”,这是学生在校期间进行的唯一由自己设计、实施、完成实际电路的实战训练;大家应该十分珍视这一理论用于实践、指导实践的极好机会;成功的课程设计,对学生一生工作都具有十分重要的指导意义。

其次,在向学生下达设计任务书的同时,要强调课题在生产实践中的实用性,并结合任务书中列出的技术参数与目前生产现场使用的仪器设备进行比较,指出设计课题的先进性和科学性。

最后,要鼓励学生认识自己的价值,肯定自己的能力,树立做好课程设计的信心。例如,揭示学生某些电路在实验课中已经做过,并且做得不错;提示学生某些设计在习题课上或作业中已进行过单元电路参数的计算,逻辑电路的连接:使学生有一种似曾相识的感觉。

全面地评价学生的课程设计质量

篇3

1.1明确任务

再设计电路时,首先要明确电路需要的功能,制定详细的任务书,确定需要的单元电路,星系拟定电路的性能指标,再通过计算电压需要放大的倍数、电路中输入输出电阻的大小,绘制执行流程图,通过设计,将电路所需的成本降到最低,提高每个单元电路、参数的精度,在提高设计电路的可靠性、稳定性的前提下,尽量简化设计电路。

1.2参数计算

计算参数是设计电路必须要进行得步骤,通过计算,来保证电路中各个单元电路的功能指标需要达到的要求,计算参数需要电子技术的相关知识,单元电路的设计需要强大的理论知识的支撑,才能做到炉火纯青。例如,在计算如下放大电路的时候,我们需要计算每个电阻的阻值、以及放大倍数,同一个电路,可能有很多数据,所以要正确的选择数据,注意方法。

1.3绘制电路图

电路设计时,需要将单元电路与整机电路相连,设计完整的具有一定功能的电路图,在连接时,需要注意单元电路间连接的简化,以及最重要的是,电路的电气连接,是否能够导通,实现预定功能。例如,设计单元电路间的级联时,各单元电路设计完成时,还要考虑这些,意在减少浪费,还要注意输入信号、输出信号、控制信号间的关系,同时还要注意一些事项:首先,注意电路图的可读性。绘图时,尽量将主电路图绘制在一张图纸上,其中较为独立的部分单元电路、以及次要部分可以绘制在另一张图上,但是一定要注意图之间的电气端口的连接,是否对应,各图纸间的输入输出端口都要提前做好标记。其次,注意信号流向以图形符号。信号的流向,一般从输入端、信号源开始,从左至右、从上到下,按信号的流向依次连接单元电路。而且,图中要加上适当的说明,如符号的标注、阻值等。最后,注意连接线画法。电路图中,各元件间的连接应为直线,且尽量减少交叉线,连接线的分布应为水平或者垂直,除非应对特殊情况,否则不要化斜线,如图中不可避免的出现交叉,要将连接点用原点表示。

2几种典型单元电路的设计方法

电子电路设计中,单元电路一定要设计合理,否则将会影响整个电路的联通,所以,电气工程师在设计电路时,应该更谨慎的致力于单元电路的设计。

2.1对于线性集成运放组成的稳压电源的设计

稳压电源的设计,一般先让输入电压通过电压变压器,然后进行整流,然后经过滤波电路,成为稳压电路。设计单元电路时,串联反馈式稳压电路可分为几个部分,调整部分、取样部分、比较放大电路、基准电压电路等。这样的设计能够使单元电路具有保护过流、短路电流。

2.2单元电路之间的级联设计

单元电路设计完成之后,还要考虑单元电路间的级联问题。例如,电气特性的相互匹配、信号耦合方式、时序配合、相互干扰等。其中信号耦合方式,还包括:直接耦合、间接耦合、阻容耦合、变压器耦合、光耦合。时序配合的问题,相对比较复杂,需要对每个单元电路的信号进行详细的分析,来确定电路时序。

2.3对于运算放大器电路的设计

运算放大电路在电路设计中十分常用,它能够与反馈网络连接,组成具有特定功能的电路模块,是具有很高放大倍数的单元电路。运放电路的设计,可以通过元器件的组合,也可以通过具有相应功能的芯片构成,设计时对各种参数都要整体权衡,不能盲目的追求某个指标的先进。其中,要引起重视的是,应在消震引脚间接入适当的电容消振尽量避免两级以上的放大级相连。

3结束语

篇4

涉及可视化仿真工具的应用工作主要围绕MATLAB进行细化设计,避免繁琐绘图以及计算流程的牵制效应,最终挖掘直观、快捷的电流变换电路的创新存在模式。因此,本文具体联合负荷升降要求的变换装置进行现场情景演练,将内部拓扑结构以及电感参数设计要求划分清晰,同时完整论述该类系统的规范原理,稳定必要结构疏通潜力。

【关键词】直流斩波 电路样式 MATLAB 模拟技术 细化流程

直流斩波电路强调疏通可调电压环境下的直流电形态,稳定输入与输出流程的衔接绩效。技术人员为了有效稳固该电路性能,从中挖掘适当的提升方式,同时对开发原理以及性能提升要领进行同步规划。需要注意的是,其中实际斩波装置的工作模式存在两类,包括脉冲与频率调试技巧。

1 斩波电路的工作原理论述

直流斩波电路主要功能就是结合直流电调试转换特性进行结构延展,透过对机理布置特征的观察,涉及不同样式的控制方式具体可以延展为时间比例、瞬时值以及二者混合构建途径。此类电路主张使用某类权控器件,途中联系IGBT以及相关器件进行总体流程延展;控制环节中若采用晶闸管,技术人员需设置晶闸管关断的辅助电路。整体电路以及相关电流规划流程中为了稳定管制绩效,有关设计人员专门设置了续流二极管部件。这类斩波电路的典型用途之一就是应用拖动式直流电动机,同时积极带动蓄电池负载功能;不足之处在于这类布局体系中都将出现反电动势状况。在现实电路设计流程中主要运用开关器件、阻性负载以及协调电压管理,并且内部电压数值主要借助开关张合状态表现。

2 直流斩波电路的建模与仿真操作技术研究

2.1 借用IGBT搭建的直流降压斩波电路以及规范参数设置

按照特定直流变换装置仿真模拟操作技巧分析,有关默认格式下的参数设计与缓冲电路管理工作需要满足同步跟进条件。在留有升降功能的非隔离式变换装置空间之下,有关变换器之间的正负极性输出机理形态十分复杂,必须全程依靠储能电感疏通。整个流程下来,必定造成变换器的耗能数量增加结果,影响实际工作协调质量。在实际项目开展过程中,技术人员最好全面摒弃不同变换器既定工作理念,同时采用新型技术指标要求规范开关电源结构,争取从中获取优良的使用价值。IGBT具体结合高压应用与快速终端设备进行垂直功率的自然进化调整;因为内部源漏通道电阻附加效应影响,IGBT开始针对结构功率缺陷进行应对。尽管创新模式的MOSFET设备将RDS特性全面规整,但是在高平电环境中的功率导通损耗现象仍然十分紧张;为了稳固IGBT结构,需要贯彻标准双极器件与VCE同步调用实效,将高电流密度瓶颈限制全面克服。

2.2 变换器控制系统的实现流程分析

在系统设计环节中主要采取模拟控制与数字调节两种途径,本文就是重点结合变换器交互式系统进行双重规整。为了稳定变换器降压与升压工作模式需求,不同电路疏通信号应该主动与最新电路设计标准进行优良匹配,保证将逻辑控制下的分配问题全面肃清。按照这种原理分析,技术人员开始将变换器与主变换电路开关电源进行智能匹配,后期结论内容具体如下所示:新型变换器拓扑结构比较简单,各个节点工作交流模式也相对明确一些,能够稳定数字化模拟操作的动机需求。

2.3 直流斩波电路的建模与仿真操作

2.3.1 仿真模型以及相关参数匹配

结合IGBT直流降压电路建模以及参数设置条件进行科学分析,有关直流变换器仿真模型与默认参数设置条件已经齐全,为了迎接缓冲电路的消极化影响挑战,在设计仿真操作流程中主要遵循以下细化工序要求:将参数调试界面打开,选取固定算法之后设置相对误差标准,直接点击进入仿真模拟流程,其中各类脉冲周期统一稳定在0.001s左右,有关后期的仿真控制结果要做到精准提取;可在固定窗口位置建立全新模型结构,并将工具箱电力模块与IGBT模块等资源依次打开,按照默认值要求实施必要参数规划,同时将内部缓冲电路取消;之后将电源模块打开,将必要直流电压模块灌输并打开参数设置条框,将电压源设置为200V;后续可将必要部件与接地模块组打开,并直接复制串联样式的规划窗口,将内部电阻设置为10Ω;透过MATLAB输入源模块,同时在buck窗口环境中复制脉冲发生器模型,必要时可实现输出结果与IGBT门极的匹配目标。

2.3.2 直流升降压斩波电路的仿真操作

结合IGBT元件以及电路仿真模拟流程进行长远观察,涉及默认参数以及电路缓冲效应必须及时得到制定。尤其在电感支路与仿真动作同步延展条件下,为了主动迎合升降压斩波理论的精准规范要求,在直流变换电路设计过程中主要运用电控基准作为开关节点,保证电路接通与断开时机的科学管控。适当应用SIMU LINK对降压斩波电路与升降压斩波的仿真结果进行详细分析,并做好与常规电路设计方案的对比准备,确保输出电压波形的稳定状态,最终全面验证仿真结果的精准效应。

3 结语

综上所述,运用MATLAB对降压斩波电路仿真模拟操作流程进行细致分析,同时采取常规电路归控结果进行同步检验,进而全面肯定创新操作流程的积极效用。这种模拟操作手段有效杜绝了传统分析模式中的繁琐绘图与计算流程,进而灵活改变参数组合搭配样式,适应科学调试的现实状况,争取为后期电子技术与多元内涵整合奠定雄厚基础。

参考文献

[1]李小敏.Matlab/Simulink在直流斩波电路仿真中的应用[J].科技资讯,2008,31(20):89-95.

[2]林皓.基于MATLAB/Simulink的液压仿形刀架建模与仿真[J].机械工程师,2008,21(07):64-78.

[3]王建国.Matlab/Simulink在DC-DC变换器仿真中的应用[J].现代电子技术,2008,25(18):34-40.

[4]黄松和.基于Matlab/Simulink的多自由度机械振动系统仿真[J].湖北民族学院学报(自然科学版),2008,13(01):104-112.

[5]刘正生.基于Matlab/Simulink的旋翼飞机高度复合控制系统仿真[J].中国科技信息,2009,28(14):176-181.

篇5

    常设一个实验室,配有齐全的电子测量仪器和设备,常年对培训学生开放。按学生的层次不同,分别制订培训计划,周一下达培训设计内容,周末检查。指导老师每周按时对学生指导,采用老带新的方法。新生的培训从基本技能开始,进行一些常用电路安装、调试培训,由浅入深。老生的培训则采用个人和小组相结合的方法进行,培训、设计制作的内容相对复杂、难度较大。定期下达一个与全国大学生电子竞赛试题难度相近的电子系统设计制作任务,指导老师定时进行检查指导。

    2暑期集中培训

    对参加每年一届的省级竞赛的同学,其培训时间一般为期2-3个月,其中用一个月时间对常用电路设计知识进行培训。每周进行2次校内模拟竞赛,电路设计难度和制作时间与省级历届的题目相近。对参加每两年举行一届的全国小组竞赛的学生,其培训时间一般为3-5个月,充分利用暑期进行培训。要求每小组分工合作进行资料查阅,电路系统设计,程序设计,电路安装、调试,设计报告等工作。培训后2个月,每2周进行一次模拟竞赛,进行电路设计制作,充分提高各小组成员的协作能力。

    3加强培训指导教师团队建设

    学校建立大学生竞赛培训指导教师的培育与团队建设中心。在竞赛组织方面,通过开展各种形式和规模的研讨,集体讨论竞赛大纲、编写培训教材、完善培训方式。通过培训指导教师的共同参与,确定培训目标、内容及定位。支持培训指导教师开展各项科研工作,以教学为基础,以科研促进教学,全面提升竞赛水平。

    4竞赛技巧培训

    设计总结报告的撰写能力培训。竞赛最后提交的成果形式除设计作品之外还有设计总结报告部分。其撰写质量直接关系着竞赛的成绩,进行技术设计报告的规范性训练是很有必要的,包括结构安排、格式、文法与表达等。资料查阅能力培训。电子设计大赛涉及面广,哪些信息对竞赛更有效与有用,以及如何选择信息。进行资料查阅能力的培训,让学生明确自己需要的和必须掌握的信息,将对培训工作起到事半功倍的效果。团队协作能力培训。要求队员充分发挥聪明才智、群策群力、默契配合,要求队员平时在学习上和生活上都能相互帮助、团结协作,便于竞赛时能有条不紊。

篇6

关键词:初中物理;素质教育;教学策略

一、巧用探究学习法,激发学习热情

素质教育需要学习主体处于积极主动的状态,将实际课堂教育的主动权交付给学生,学生开始去探究和归纳,由此实现物理知识的积累、物理规律的认知、物理知识的应用,这样的主动学习格局会使得学生处于积极的学习状态,而这些将驱动实际的学习朝着更加深刻的方向发展和进步[2]。由此在实际的初中物理教学中,创设良好的学习探究环境,尽可能地激发学生的学习兴趣,将成为素质教育的重要开端,对此初中物理教师应该有着相对清晰的认知。例如,在沪科版物理“电路设计”相关知识点学习的时候,教师为了确保实际教育教学交互性的提升,可在实际课堂中融入探究学习法,要求学生以分小组的方式来开展活动,由此激发学生对于电路设计知识的学习热情。比如,教师可以要求学生分小组观察自己家中家居灯的特点,思考实际的灯具是如何工作的,灯具的电路设计应该如何去保证其功能性,在此基础上收集和整理相关电路材料,采取对应的措施实现电路电板的优化设计,绘画出对应的电路设计方案,并且依靠小组成员完成实际电路施工工作。在这样的物理教学案例中,首先是生活经验的激发,可以引导学生去思考平时生活中灯与电路的运行机制,继而援引自己学习到的电路知识去想象实际电路设计的方法,并在此基础上,由各个组员协同参与,运用对应的电路材料实现对应电路施工,模拟实际灯的电路设计环境,由此确保实际电路设计制作的知识点得到完全的巩固。在上述的案例中,实践活动有着很强的探究性,学生在这样的知识探究环境中,实现了动手操作能力的锻炼,完成了物理知识思维的培养任务,自然而然地进入物理学习的深刻状态。可以说这也是实现初中物理素质教育的重要实现路径,初中物理教师应该懂得在实际教育教学中渗透探究性的问题或活动,这样可以增强知识与思维之间的交互,使得学生可以更好地掌握知识和学习技能。

二、开设趣味小实验,增强知识认知

在实际初中教育教学环节,如果能够考虑到学生的兴趣,设置学生感兴趣的内容,由此营造更加有趣味的情境,这将会激发学生的参与热情,也就可以为实际初中物理教育教学工作的有效开展创设良好的基础[3]。基于这样的考量,笔者认为在实际的初中物理教学的时候,还可以开设趣味小实验,在实践操作的过程中,增强学生对于物理知识的认知,由此使得实际的物理教学朝着提升学生素养的方向发展。比如,在学习沪科版八年级“学习使用天平和量筒”知识点的时候,教师可以引导学生开展如下的趣味小实验,以增强学生对于天平运行原理的认知。要求学生在课前收集两个废旧牙膏壳,在课堂上分别称两个废旧牙膏壳的质量,做好对应的记录,接着要求学生使用剪刀将自己手中的废旧牙膏壳剪掉,剪成小碎片,分别放在事先准备好的两张纸张上,接着,要求学生分别将左边和右边的碎片放到天平上称。在称之前可以要求学生发挥想象,想一想剪掉后的牙膏壳再次放在天平上,此时的质量会有什么变化吗?请使用实验验证的方式来验证自己猜想。接着学生们分别按照对应的要求来操作,并且记录对应的结果,实现两次结果的比较,看看是否存在差异,并且思考为什么会出现这样的情况?在这样的趣味小实验中,问题的设置可以激发学生探究的欲望,而对应的天平称物的过程可以引导学生更加熟练地掌握实际使用技巧,这样也就实现了学生实践操作素质的提升。很明显这两个目标的实现,都是初中物理素质教育的题中之义。同时,实际趣味小实验的设定,必须要保证实际内容与课程之间的吻合,并且懂得挖掘实际知识点的乐趣所在,鼓励学生大胆地去猜想,并且在小实验中去验证,这样的探究过程是学生自主完成的,而这些将驱动着实际的初中物理教育教学工作朝着高质量的方向发展和进步。

三、立足信息化技术,塑造学习格局

素质教育格局中学生学科知识的掌握,需要创设对应理想的学习情境,而这样的情境可以通过信息化技术的手段来进行,由此使得学生更快更好地理解对应的物理知识,掌握对应的物理技能,引导初中物理教学格局朝着更加理想的方向发展和进步[4]。也就是说,使用信息化教学手段或工具,可以使得素质教育有着更加良好的外在环境,这对于塑造良好学习格局而言,也是至关重要的环节之一。以沪科版初中物理“密度”知识点学习为例,相关专业概念的理解需要很强的逻辑思维能力,但是总有部分学生难以有效理解实际内涵,继而影响实际专业知识的应用。也就是说,本次课程的难点在于密度概念的理解。在这样的课程改造过程中,笔者采取了如下的方式来优化调整。其一,要求各个小组分别确定10毫升水的质量和体积,20毫升水的质量和体积,30毫升水的质量和体积,40毫升水的质量和体积,50毫升水的质量和体积,使用电脑和投影工具,展示各个小组最终得出的结果;其二,使用Excel软件展现各个小组得出的结果,要求学生对于实际结果进行分析,并且思考水的体积和水的质量之间存在怎样的关系?此时学生会发现两者之间呈现出正比例的关系,也就是说体积增加,质量也增加了,两者之间的比值是一定的,由此得出对应密度的概念。依靠这样的信息化手段可以很快地找到实际数据中的规律,实际的密度概念也就因此得到了总结和归纳,在这样的操作过程中学生会跟随着计算机工具去进行思考,为什么实际得到的结果是这样的,为什么两者之间的比重是一样的?使用信息技术来辅助观察,还有其他方面的效能:更加快捷营造良好的分析格局,引导学生进入物理知识的理解中去。

篇7

行动导向教学法Protel DXP教学方法教学模式《Protel DXP与计算机辅助设计》是借助于计算机完成电子线路的设计与制作,是电气自动化技术、电力工程、城市轨道交通控制等专业的必修专业课,具有很强的技术性、专业性、实践性和综合性。该课程以电路的分析、应用为基础,遵循国际和行业规范,培养学生电路原理图的绘制和PCB板设计能力,以及利用电路设计软件产生、输出技术资料的能力。课程不仅为学生学习相关理论知识和技能训练起到承前启后的作用,而且为今后从事印制电路板工作起到增强适应能力和开发创新能力的作用。

一、课程教学目标

知识目标:软件的安装与卸载;电路原理图的绘制、原理图元件的创建和原理图库的建立;PCB板的布局/布线;元件封装库的创建;设计文件的输出;PCB板的制作。

能力目标:独立完成Protel DXP 2004安装和配置;能够熟练绘制简单原理图、复杂原理图和层次原理图;能够将电路原理图转化成PCB板,合理布局并布线;能够制作元器件原理图库及PCB封装库;能够完成PCB板装配图的输出、打印;能够根据输出的装配图制作PCB板;通过学习Protel DXP 2004,提高软件应用能力及学习能力。

素质目标:培养学生爱岗敬业、爱护设备、具有高度的责任心、团结合作的职业操守;培养学生的标准意识、规范意识、成本意识、环保意识、质量意识。在教学中融入企业的6S管理及行业规范,6S就是整理、整顿、清扫、清洁、素养、安全。

二、课程设计理念与思路

课程的建设、改革遵循 “任务驱动、项目导向”的设计理念。

以自动化制造类职业岗位需求为导向,以职业能力培养为核心,以“能力递进和适度循环反复”为原理,校企共同参与课程建设,融入行业标准和规范,用三个项目8个真实工作任务为载体。将知识和技能融入各个任务中,学生通过完成任务探索吸收知识、练好技能,同时培养自主学习能力,强化团队精神,为后续课程学习和适应工作岗位奠定良好基础。

三、教学内容分析

本课程针对电子设计及安装员、印刷线路板制作工艺员岗位要求,将教学内容进行序化、整合,设置了三个项目,8个核心任务。

在项目一基本放大器PCB板的制作中设计了Protel DXP 2004软件的安装与卸载、基本放大器原理图的绘制、基本放大器PCB板的制作3个工作任务,通过设计实物激发学生学习兴趣,使掌握PCB板设计的基础知识及基本操作,培养学生对电路设计步骤及工艺流程的初步认识。

项目二中信号发生器PCB板的制作设计了数据采集电路原理图的绘制、信号发生器电路原理图绘制、信号发生器PCB板的制作3个工作任务,使学生掌握大中规模电路系统涉及的复杂电路原理图、层次电路原理图及PCB板布线的处理方法及技巧,掌握DXP库中未收录元件的原理图元件和PCB封装的制作,培养学生团队合作完成中等复杂程度电路板设计与制作的能力。

项目三以完成 “单片机开发系统的印制电路板”这个具有实用价值的产品为目标成果进行训练,使学生在完成电路板设计、装配过程中,综合应用原理图制作、PCB板制作、综合布局布线、焊接调试等完整工艺流程,以训练学生职业态度、操作规范及工艺要求为主,注重职业素养的养成。

通过完成任务,使学生掌握典型电路板绘制、设计原理与方法,并且能够拓展到其它电路板的设计与制作。课程强调基本技能、操作规范与工艺,为学生的可持续发展奠定良好的基础。

四、能力递进的三阶段教学模式

紧密依托企业,选取三个典型项目作为案例,项目按照从简单到复杂,从相对单一到综合应用的递进关系排序。教学模式注重能力递进,适度循环往复,逐渐培养学生对Protel DXP这一电路设计工具运用熟练程度,熟练电路设计流程。

项目一是简单入门级项目,学生初步掌握工艺流程,初步培养起标准意识、规范意识、质量意识。

项目二是技能训练型项目。学生通过小组合作的方式,在教师引导下,完成中等复杂程度电路板的设计与制作。对工艺方法、工艺标准的理解更加深刻,职业素养进一步养成。

项目三是综合提高实训项目。小组独立完成整个项目的分析、实施,成品的检测工作。整个过程完全以学生为主体,在学生遇到难以解决的问题时,教师给予恰当提示。

五、灵活、多样的教学方法

根据三个项目不同的教学内容及每个阶段学生认知的不同特点,采用了灵活、形式多样的教学方法。

案例教学法:例如项目一中以Protel DXP为例介绍Protel系列软件的安装与卸载方法,以基本放大器PCB板的制作为例,介绍原理图设计基本基础, PCB板设计基础等知识。

“行动导向教学法”:学生以小组的形式,分工合作,按照咨询、计划、决策、实施、检查、评价六个步骤完成任务。项目二和项目三的任务均采用行动导向教学法。

在每个项目中根据具体内容、步骤不同又融入多种教学方法,例如在任务开始采用引导文法。小组制定计划时可用头脑风暴法;检查任务完成情况时采用演示法。

六、多种教学手段

综合运用多种教学手段优化教学过程,提高教学质量和效率。

多媒体教学:将抽象的教学内容,采用图片、录像、动画等方式形象的演示。

实物教学:以真实的元器件和电路板为载体进行教学

网络教学:推荐相关网站和设计案例。

现场教学:让学生经历项目全过程,亲自操作、设计与加工制作电路板。

七、教学过程

根据教学内容的不同,三个项目采用不同的教学方法和教学过程。项目一以教师讲解、演示为主,教学环节分为引入、讲授、练习、小结、作业。项目二和项目三中的任务,学生均以小组形式,按照六步法完成任务。

资讯:教师通过视频资料、课件或引导文,进行问题引领,导入任务,下达任务书,学生查找搜集资料,学习相关知识,教师给予辅导答疑。

计划:在教师指导下,学生分组讨论,并制定计划。

决策:通过黑板或张贴版讨论方案合理性、可行性,进行决策并确定实施方案,分配好每个人的任务。

实施:在实施过程中,学生进一步掌握并学会运用相关知识解决问题。

检查:小组自检,互检、教师检查。

评价:各小组自评、互评,教师评价任务完成情况并总结任务中的知识能力要点。

通过实施行动导向教学法,在教学中重视“案例”教学,重视“解决实际问题”及“自我管理式的学习”,使学生在学习过程中,不仅掌握相应的知识和技能,而且各种行为能力亦可以得到充分提高。

参考文献:

[1]马福军.行动导向教学法在职业教育中的应用.职业技术教育,2007 ,(23).

篇8

学生在运用EWB软件进行操作时,一边可以熟练在实际应用中的操作技巧,分析具体电路解决问题,还可以一边牢记关于电子电工技术的理论知识。就基尔霍夫定律来讲,基尔霍夫定律是电子电工技术学中的基本定律,囊括了电压定律和电流定律,对于学生学习电子电工技术理论十分重要,是以后将所学应用到实践中的理论基础。因此,通过操作EWB软件,可以帮助学生加深关于基尔霍夫定律的理论知识。首先在计算机桌面上选择EWB软件的快捷方式,进入虚拟实验室电子工作平台。点击创建电路按钮,学生可根据实际情况自由创建电路。然后,学生打开电路中的电路开关,通过电压表和电流表对该电路的电流和电压进行读取。随后学生可以任意更改电路中电子元件的数值,分别对每次更换电子元件后的电压表、电流表数值进行读取。最后学生们学生以试验后的多组电压、电流数据为基础,经过EWB软件的计算功能来验证,帮助学生进一步理解基尔霍夫定律,加深对理论知识的记忆,既培养了动手操作能力,有培养了学生的学习能力。

2EWB软件在逻辑电路中的应用技巧

在逻辑电路中,可以后多种形式来表现逻辑函数,而这几种形式是可以相互转化的,通过相互转化对逻辑电路进行综合分析。传统的分析电路方式,在对逻辑电路的分析过程中,逻辑函数的相互转化耗费的时间长,计算复杂,不利于实际电路问题的分析和解决。EWB软件根据这一点设计出逻辑转换仪,这种特殊的仪表软件,可以轻松的将几种不同形式的逻辑函数进行转化,达到分析电路设计电路的最终目的。一下对逻辑转换仪的应用技巧进行简要分析。首先进入EWB软件主界面,选择使用逻辑转换工具,即逻辑转换仪,利用鼠标进行选取,是逻辑转换仪进入工作状态。其次,根据具体电路、电流以及电压情况对逻辑转换仪进行参数设置。参数设置完毕之后继续根据要求设置逻辑转换仪输出数值设置,在一切数值设置完毕后,准备进行下一项工作。之后根据不同电路形式,选择不同的逻辑表达式按钮,得到相应的逻辑电路。再通过单击逻辑表达式按钮,得到最简的二进制逻辑表达式。最后,在得出不同的逻辑函数最简表达式之后,保证电路和逻辑转换仪连接完好,通过输入不同的信号对集中最简逻辑表达式进行转换,通过观察输出数值,对逻辑电路中的几种不同逻辑函数进行验证,判断转换后结果是否一致。

3EWB中一些常见功能使用技巧

EWB软件的设计使学生在教学中可以达到边学边做的效果,利用仿真电子平台和虚拟实验室达到实践效果。要想熟练的掌握EWB的各种应用技巧,应该熟练EWB软件中各种常见常见功能,从而达到在使用中熟练操作EWB各个应用技巧的目的。

3.1各类虚拟仪表的使用技巧

EWB软件中对于虚拟仪表的设计,最大限度的符合了实际仪表的标准,使软件操控者使用键盘和鼠标就能对各类仪表进行操作,设计电路、分析电路问题。在EWB电子实验平台中,共有其中虚拟仪表,分别是:数字万用表、信号发生器、示波器、虚拟电流表、虚拟电压表。由于在设计上最大限度的遵从了真是仪表的使用方法,因此在操作EWB软件中,各类仪表的操作技巧也与真是仪表的操作技巧相同,需要注意的是在操作评测过程中注意观察各种仪表的数值适用范围,在数值范围内进行合理的操作。

3.2EWB软件中巧用快捷键

EWB软件是一种计算机软件,在操控中大部分过程需要进行鼠标。同时EWB软件在设计中加入了快捷键功能,是软件操控者在使用软件过程中达到鼠标与键盘相结合的效果。在使用快捷键时操纵者减少了对鼠标的使用,充分的将左手与右手相结合,提高了软件的操作的效率。需要注意的是,操作快捷键大都比较相似,操作者需要牢记每种快捷控制的内容,减少错误的出现。

4结束语

篇9

关键词:电子电路;实验;项目教学法

一、电子电路实验教学的地位

电子电路实验课是电子信息、电子科学、电子通讯专业重要的专业基础实验课。通过实验课教学,培养学生理论联系实际的能力,使学生能利用所学的理论,通过实验结果去分析、研究电子电路,实现对电路参数的调整,使电路设计更加适应性能需求。通过综合实验电路板的焊接、调试,为学生打下工程实践基础,为后续实习作好准备。

二、电子电路实验教学的目标

电子电路实验教学的目标就是利用电路连接与测试,使学生能够正确操作仪器、仪表,独立开展实验,并对实验展开分析。电子电路实验教学侧重两方面:一是要求学生对于电路理论知识有一定的理解和掌握,在学习过程中培养学生实事求是的精神和科学严谨的学习态度。二是让学生掌握基本的实验操作技巧,主要包括正确操作示波器、信号发生器等仪器、仪表;能够以电路原理图为基础绘制出科学详细的实验电路图,并以实验电路图为依据开展接线、线路故障检修等工作;能够独立开展实验,观察实验现象,记录试验数据并进行深度分析,写出实验报告。

三、提高电子电路实验教学有效性的策略

1.采用项目教学法

所谓项目教学法,就是教师和学生共同合作,对一个项目进行管理的教学方法。采用这种教学法,教师可以把应当实施的项目呈现给学生,让学生遵循实际的项目流程,以小组分工形式协作制订计划并实施对计划,直至项目完成。以项目引导电子电路实验教学,应当围绕以下几方面进行:

(1)项目的选取。项目选取时一定要考虑到可行性、典型性、综合性和实用性,且能吸引学生的兴趣,项目内容最好使学生充分体验到学有所用的快乐。如设计一个高速并行A/D转换系统,实现三位A/D转换并显示AD输出值。该系统由基准电压电路、电阻分压电路、比较器和优先编码器组成(外加一个显示电路)。

(2)项目的实施。在项目确定之后,教师可以先把项目分解成多个任务,每个任务为一项实验内容,根据学生的实际情况设置实验要求。项目中每个任务的设置要求不同层次的学生都可以做到。高速并行A/D转换系统这个项目,就可以分解为集成运放、触发器、组合逻辑电路和时序逻辑电路这四大部分。进行实验时,学生可以根据自己的情况选择项目,完成项目里的各项任务,达到实验的基本要求。完成项目中所有任务的学生可以根据自己的实际情况选择完成整个项目,甚至可以扩展项目的功能,如实现四位A/D转换。

2.Multisim在实验教学中的应用

高校实验教学越来越多地倾向于通过虚拟仪器技术进行实验教学,这主要是由于虚拟仪器系统不仅具有很高的利用率,并且大大降低了教学成本,缓解了学校实验经费紧张的状况,这是以往使用传统仪器开展实验教学不具备的优势。并且使用虚拟仪器可以不断提高学生设计电路的能力,开发学生的发散性思维,可以使学生更牢固地掌握电路实验的基本原理。虚拟仪器技术还能让学生了解当前社会中应用的最先进的技术,使其从学校走出后能够尽快融入社会,适应工作需求。

3.改变实验教学的考核办法

当前高校实验教学的考核方法也存在很多问题,主要表现在对学生的考核不全面,考核重视理论知识而忽视了实际操作能力,重视实验结果而不重视实验过程。我们知道,如果学生独立自主进行电路实验的设计与操作,他们必然对电路功能分析、电路设计及仿真、电路的搭建及调试、电路故障的分析与排除等进行了无数次的重复实验,他们还需要对实验数据进行记录并详细分析。但是,也有些学生他们的实验数据看起来不存在问题,但却可能是从其他同学那里获得的,这样他们对知识的掌握程度必然不深,操作也不可能熟练。因此,电路实验的考核不可以单看实验结果,必须考核实验过程。这样就要把实验考核划分成两大部分,一是日常的成绩,一是实验考核成绩,从而全面地对学生的基本知识与基本操作技能进行考核。

参考文献:

[1]任艳频.电子电路实验教学与人才培养探究[J].实验室研究与探索,2012(11).

[2]王革思.电子电路实验教学资源建设研究[J].实验技术与管理,2015(1).

篇10

关键词:FPGA;VHDL;变量和信号

中图分类号:TP31 文献标识码:A 文章编号:1009-3044(2014)01-0219-04

1 概述

随着EDA技术的发展,越来越多的航天产品中开始使用FPGA器件, FPGA设计中使用的硬件语言VHDL语言由于发展的较早,语法严格,非常适合大规模系统的设计,被航天产品设计师广泛应用。

变量和信号是VHDL语言中最为常用和最重要的两种数据对象,在电路设计中正确应用变量和信号是成功完成电路设计的重要因素。在对航天型号FPGA产品的评测过程中发现,设计师在使用VHDL语言时会对变量和信号的使用把握不准确,导致一些不必要的问题发生。因此,深入研究VHDL中变量和信号的基本特性和应用特点十分重要,对于提高FPGA的设计效率有着重要的意义。

2 变量和信号的主要区别

信号、变量和常量是VHDL中常用的2类数据对象。变量非常接近软件高级语言中的变量、而信号则具备更多的硬件特征,能对应硬件电路中的实际连线,是VHDL语言所特有的。信号和变量的用法和区别主要体现在以下几个方面。

1)变量是一个局部量,只能在进程和子程序中声明和使用。信号是一个全局量,使用和定义范围是实体、结构体和程序包,它具有全局性特征。例如,在实体中定义的信号可以被该实体中所有的进程使用。因此,信号可以作为信息交流通道在不同进程之间传递信息。

2)变量和信号的赋值语句不同,前者为“:=”,后者为“

3)进程中的敏感列表中可以有信号,不能有变量。

4)变量的赋值不存在延时,是立即发生的,而信号赋值都是有延时的。在一个进程中,如果对同一个信号多次赋值,仅最后一次赋值是有效的,如果对一个变量进行多次赋值,那么每次赋值都是有效的,变量的值在再次赋值之前一直保持不变。

结合以下的几个例子我们可以体会到变量和信号在赋值时的不同之处。在程序1中,由于信号赋值有延时,即进程结束时赋新值,所以结果是a和b 的值互换;而程序2中,由于变量赋值是立即更新的,所以结果是a和b的值均为b。

程序1:

architecture xinhao_arch of xinhao is

signal a,b : std_logic; ―信号

begin

process (a,b)

begin

a

b

end process;

end xinhao_arch ;

程序2:

architecture bianliang_arch of bianliang is

begin

process

variable a,b : std_logic;―变量

begin

a := b;

b := a;

end process;

end bianliang_arch ;

程序3和程序4是将输入信号din用信号赋值和变量赋值的方法分别赋值给输出信号dout。我们分别比较两种情况下的区别。

程序3:

entity xh is

port(

din : in std_logic;―输入信号

clk : in std_logic;―时钟信号

dout : out std_logic 输出信号

);

end xh;

architecture xh_a of xh is

signal da,db: std_logic;―信号

begin

process(clk)

begin

if rising_edge(clk) then

da

db

end if;

end process ;

dout

end xh_a;

在程序3中,由于信号的赋值不是即时的,输入端口din的值在赋给输出端口dout时经过了2个时钟延时,所以它由2个D触发器构成,如图1和图2。

程序4:

entity bl is

port(

din : in std_logic;

clk : in std_logic;

dout : out std_logic

);

end bl;

architecture bl_b of bl is

begin

process(clk)

variable da,db: std_logic;―变量

begin

if rising_edge(clk) then

da := din;

db := da;

dout

end if;

end process ;

end bl_b;

程序4中,由于变量的赋值是即时的,相当于把输入端口din的的值赋给输出端口dout,所以它由一个D触发器构成,如图3、图4。

3 变量和信号赋初值技巧

在FPGA设计中,复位时赋予各个信号初值是很有必要的,否则可能出现不定态。在给变量和信号定义的时候虽然可以赋初值,但应该注意的是,这个初始值只是对于行为级仿真来说有用 , 综合器在综合时会忽略这些信息。

在某型号FPGA产品测试时发现设计师使用多个变量信号,并对这些变量信号赋初始值,前仿真结果正确,但后仿真中观测的信号为不定值,无法顺利进行后仿真。程序部分代码如下:

process(clk)

variable en : std_logic := '0';

variable cnt : std_logic_vector(1 downto 0) := (others =>'0');

begin

if rising_edge(clk) then

cnt := cnt + (clk2 and en);

en := not clk2

end if;

end process;

波形见下图5

分析其原因:在定义变量en和cnt时直接给其赋初始值并不能生效,这是因为综合过程中综合器将略去所有变量的初始值,因此变量en和cnt在后仿真中为不确定态,从而使得后仿真无法顺利进行。

为了实现对变量en和cnt赋初值,需要对程序进行如下修改。修改后仿真波形见下图6,后仿真结果正确。

process(clk)

variable en : std_logic;

variable cnt : std_logic_vector(1 downto 0);

begin

if rising_edge(clk) then

if reset = '0' then ― reset为全局复位信号

cnt := (others=>'0');

en := '0';

else

cnt := cnt + (clk2 and en);

en := not clk2;

end if;

end if;

end process;

由此可见,信号和变量应该避免在定义时初始化赋值。而改由在相应的位置(如复位处)进行初始化。因此,无论是在仿真还是综合时,都建议使用这样的方法(在复位处)进行初始化。

4 变量和信号使用特点

在实际编程中,我们要结合变量和信号有各自的区别和优缺点在不同的情况下进行选择使用,尤其注意以下几个几点:

1)变量可以用来实现一些复杂的算法,也可以进行建模。对于仿真来说,使用变量一般可以提高程序的仿真速度,缩短测试周期,但在有的仿真器中也存在无法直接观测变量波形和变化值,使得仿真过程复杂化。

2)变量赋值虽然是无延时的,但变量的操作综合后容易在硬件上产生较大的延时,这是因为对变量的操作往往被综合成为组合逻辑。而信号更接近于硬件,综合后一般对应成触发器,能很好的控制硬件上的延时。因此,从这个意义上讲使用信号能提高设计的速度。

3)变量由于其有效范围只是局限在它所在的进程之中,因此要将变量的值传输到进程之外的话必须要先传输给信号,利用信号的全局特性进行信息传递。因此,程序中如果大量使用变量就必须同时定义若干个相关信号,在多个进程之间传递大量的信息十分的不方便,也大大降低了程序的可读性。而信号不存在这样的缺点,因此在实际设计中应尽量使用信号进行内部信息传递。

5 结束语

通过上面的讨论可知,在FPGA设计中,要特别注意信号和变量的区别和使用特点,灵活掌握信号和变量的使用技巧,提高设计效率。

参考文献:

[1] 侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安电子科技大学出版社,1999.