数字电路的设计方法范文

时间:2023-10-09 17:12:58

导语:如何才能写好一篇数字电路的设计方法,这就需要搜集整理更多的资料和文献,欢迎阅读由公务员之家整理的十篇范文,供你借鉴。

数字电路的设计方法

篇1

关键词: 数字电路设计; 现代数字逻辑设计方法; 数字电路教学改革; 转换真值表

中图分类号: TN710?34; TP302.1 文献标识码: A 文章编号: 1004?373X(2014)07?0139?04

Research on the necessity of change in digital circuit design method

based on CPLD/FPGA

SHUANG Kai, CAI Hong?ming

(College of Geophysics and Information Engineering, China University of Petroleum (Beijing), Beijing 102249, China)

Abstract: Application of large?scale programmable logic device has brought great flexibility to digital system design. The introduction of standard logic design language has greatly changed the design method, design process and design concepts of traditional digital system. As a technical foundation teaching link in the university, it should be adjusted accordingly. The problems of the traditional design approach and advantages of modern logic design methods are compared through the combinational logic and sequential logic design examples. By contrast, the modern logic design techniques has replaced the traditional method of digital system design and become the mainstream of the digital circuit design, which is the inevitable trend of development of electronic technology.

Keyword: digital circuit design; modern digital logic design method; digital circuit teaching reform; conversion truth table

0 引 言

20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过类似软件编程的方式对其硬件结构和工作方式进行重构,从而使硬件设计像软件设计那样方便快捷。这就极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了数字逻辑电路设计技术的迅速发展。本文通过几个设计实例的对比阐述一个道理,随着数字电路中先进设计方法的引入,高等学校中数字电子技术的教学内容必须随之得到改善,使之与技术进步相互适应[1?3]。

数字电路根据逻辑功能的特点,分成两类,一类叫组合逻辑电路(简称组合电路),另一类是时序逻辑电路(简称时序电路)。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅取决于该时刻的输入,与电路初态无关。而时序逻辑电路任意时刻的输出不仅取决于当时的输入信号,还取决于电路原来的状态。本文从这两方面就传统手工设计存在的问题进行讨论。

1 组合逻辑设计中传统设计方法与可编程逻辑

设计方法的对比

列真值表,逻辑关系式,逻辑化简是组合逻辑设计的几个重要步骤。但这一经典的组合逻辑设计步骤并不总是必须的。实现特定逻辑功能的逻辑电路也是多种多样的。为了使逻辑电路的设计更简洁,通过各种方法对逻辑表达式进行化简是必要的。组合电路设计就是用最简单的逻辑电路实现给定逻辑表达式。在满足逻辑功能和技术要求基础上,力求电路简单、可靠。实现组合逻辑函数可采用基本门电路,也可采用中、大规模集成电路。

例1:三个人表决一件事情,结果按“少数服从多数”的原则决定这一逻辑问题[4?5]。在“三人表决”问题中,将三个人的意见分别设置为逻辑变量A、B、C,只能有同意或不同意两种意见。将表决结果设置为逻辑函数F,结果也只有“通过”与“不通过”两种情况。

传统的逻辑设计需要由下面的4个步骤完成:

(1) 列真值表

对于逻辑变量A、B、C,设同意为逻辑1,不同意为逻辑0。对于逻辑函数F,设表决通过为逻辑1,不通过为逻辑0。

根据“少数服从多数”的原则,将输入变量不同取值组合与函数值间的对应关系列成表,得到函数的真值表如表1所示。

表1 例1的真值表(共有23=8行)

[A\&B\&C\&F\&0\&0\&0\&0\&0\&0\&1\&0\&0\&1\&0\&0\&0\&1\&1\&1\&1\&0\&0\&0\&1\&0\&1\&1\&1\&1\&0\&1\&1\&1\&1\&1\&]

(2) 列逻辑函数表达式

三人表决器的逻辑表达式为:

[F=ABC+ABC+ABC+ABC] (1)

设N为上式中的逻辑项数,这时,共有逻辑项[N=C23+C33=4]项。

(3) 逻辑化简

三人表决器的逻辑表达式可化简为:

[F=BC+AC+AB]

(4) 画出逻辑电路图如图1所示。

尽管上面的分析看上去没有错误,但上例中的“三人表决器”设计给学生一个误导,好像按照上述的设计步骤就可以进行组合逻辑设计了。可以推导,若表决人数用[p]来表示,逻辑表达式的项数为[Np=k=p2+1pCkp,]其中[Ckp]为逻辑项的组合数。以[p=7]为例,这时表1中的表项为27=128项,式(1)中的逻辑项数N变为[N7=C47+C57+C67+C77=64]。

图1 例1的逻辑图

显然,随着表决者数量的增加,逻辑项数急剧增加,真值表不易绘制,逻辑公式无法手工书写,逻辑化简也非常困难。

多数表决器的逻辑公式由于过多的项数不易采用公式法化简。如果采用卡诺图化简法也会因输入变量过多而导致传统化简方法失效。

标准逻辑设计语言的出现给大规模逻辑设计带来了新的希望。硬件描述语言(HDL)的采用可以使设计者的精力集中于所设计的逻辑本身,不必过多的考虑如何实现这个逻辑以及需要用哪些定型的逻辑模块。这在以往中小规模集成电路逻辑设计与大规模可编程逻辑设计方法上产生了本质的差别。Verilog是一种以文本形式来描述数字系统硬件结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。在此,用Verilog设计一个“七人表决”逻辑,以考察采用现代逻辑设计方法较传统设计方法的优势。

在表决器的设计中,关键是对输入变量中为1的表决结果进行计数,如果把全部的逻辑状态列表分析,势必存在冗余的设计资源。根据多数表决的性质,考虑采用加法逻辑来统计表决结果,之后再判决加法器输出中1的个数即可实现该逻辑。Verilog设计如图2所示。

图2 七人表决的Verilog逻辑

在“七人表决”逻辑中,不再专注于每个逻辑变量状态的变化,只抓住关键问题多数表决有效,并用条件操作符“?”设计出所需的Verilog行为逻辑,剩下的实现问题交由计算机综合(synthesis)。可以看到,采用标准化的硬件描述语言,能有效地避开以往组合逻辑设计中逐一考察每个输入逻辑状态所带来的逻辑状态分析的爆炸,从而可以用较短的设计时间得到正确的逻辑输出。众所周知,加法器、比较器都是传统的组合逻辑教学内容,但以往的教学中由于采用手工分析方法,很难把这些不同的逻辑设计内容综合考虑进来。笔者认为,现代逻辑设计方法的引入将逐渐转化人们对传统逻辑设计中的关注点,势必引起逻辑设计教学方法的更新。有必要加大逻辑功能综合设计的内容,减少元器件级逻辑单元选型在教学中的比例。

2 时序逻辑设计中传统设计方法与现代可编程

逻辑设计方法的对比

数字电路的另一类设计内容是时序逻辑设计。时序逻辑设计分为同步与异步时序逻辑设计。一般地,同步时序逻辑设计的难度要高于异步时序逻辑。因此,也在时序逻辑电路设计上占有较多的学时。如果在教学改革中仅把可编程逻辑设计作为传统时序逻辑设计内容的补充,不但不能使学生体会到先进的计算机辅助逻辑设计所带来的便捷,还可能使学生按照传统的手工时序逻辑设计步骤去理解可编程时序逻辑,导致时序逻辑设计的复杂化,增加逻辑验证的成本。因此,有必要探讨传统设计方法与现代逻辑设计方法之间的差别。下面根据一个典型的时序逻辑设计来说明。

例2:试设计一个序列编码检测器[6?7],当检测到输入信号出现110序列时,电路输出1,否则输出0。

这个序列编码检测器如果按照传统的时序设计步骤,将会异常繁琐:

(1) 由给定的逻辑功能建立原始状态图和原始状态表

从给定的逻辑功能可知,电路有一个输入信号A和一个输出信号Y,电路功能是对输入信号A的编码序列进行检测,一旦检测到信号A出现连续编码为110的序列时,输出为1,检测到其他编码序列时,输出为0。

设电路的初始状态为a,如图3中箭头所指。在此状态下,电路输出[Y=0,]这时可能的输入有[A=0]和[A=1]两种情况。当CP脉冲相应边沿到来时,若[A=0,]则是收到0,应保持在状态a不变;若[A=1,]则转向状态[b,]表示电路收到一个1。当在状态[b]时,若输入[A=0,]则表明连续输入编码为10,不是110,则应回到初始状态[a,]重新开始检测;若[A=1,]则进入状态[c,]表示已连续收到两个1。在状态[c]时,若A=0,表明已收到序列编码110,则输出[Y=1,]并进入状态d;若[A=1,]则收到的编码为111,应保持在状态[c]不变,看下一个编码输入是否为[A=0;]由于尚未收到最后的0,故输出仍为0。在状态[d,]若输入[A=0,]则应回到状态[a,]重新开始检测;若[A=1,]电路应转向状态[b,]表示在收到110之后又重新收到一个1,已进入下一轮检测;在[d]状态下,无论[A]为何值,输出[Y]均为0。根据上述分析,可以得出如图3所示的原始状态图和表2所示的原始状态表。

图3 例2的原始状态图

表2 例2的原始状态表

[现态

[(Sn)]\&次态/输出[Sn+1Y]\&现态

[(Sn)]\&次态/输出[Sn+1Y]\&[A=0]\&[A=1]\&[A=0]\&[A=1]\&[a]

[b]\&[a/0]

[a/0]\&[b/0]

[c/0]\&[c]

[d]\&[d1]

[a/0]\&[c/0]

[b/0]\&]

(2) 状态化简

观察表2现态栏中[a]和[d]两行可以看出,当[A=0]和[A=1]时,分别具有相同的次态[a、][b]及相同的输出0,因此,[a]和[d]是等价状态,可以合并。最后得到化简后的状态表,见表3。

表3 例2经化简的状态表

[现态

[(Sn)]\&次态/输出[Sn+1Y]\&现态

[(Sn)]\&次态/输出[Sn+1Y]\&[A=0]\&[A=1]\&[A=0]\&[A=1]\&[a]

[b]\&[a/0]

[a/0]\&[b/0]

[c/0]\&[c]

\&[a1]

\&[c/0]

\&]

(3) 状态分配

化简后的状态有三个,可以用2位二进制代码组合(00,01,10,11)中的任意三个代码表示,用两个触发器组成电路。观察表3,当输入信号A=1时,有abc的变化顺序,当A=0时,又存在ca的变化。综合两方面考虑,这里采取00011100的变化顺序,会使其中的组合电路相对简单。于是,令a=00,b=01,c=11,得到状态分配后的状态图,如图4所示。

图4 例2状态分配后的状态图

(4) 选择触发器类型

这里选用逻辑功能较强的JK触发器可以得到较简化的组合电路。

(5) 确定激励方程组和输出方程组

用JK触发器设计时序电路时,电路的激励方程需要间接导出。表4所示的JK触发器特性表提供了在不同现态和输入条件下所对应的次态。而在时序电路设计时,状态表已列出现态到次态的转换关系,希望推导出触发器的激励条件。所以需将特性表做适当变换,以给定的状态转换为条件,列出所需求的输入信号,称为激励表。根据表4建立的JK触发器激励表如表5所示。表中的[x]表示其逻辑值与该行的状态转换无关。

表4 JK触发器特性表

[[Qn]\&[J]\&[K]\&[Qn+1]\&[Qn]\&[J]\&[K]\&[Qn+1]\&0\&0\&0\&0\&1\&0\&0\&1\&0\&0\&1\&0\&1\&0\&1\&0\&0\&1\&0\&1\&1\&1\&0\&1\&0\&1\&1\&1\&1\&1\&1\&0\&]

表5 JK触发器的激励表

[[Qn]\&[Qn+1]\&[J]\&[K]\&[Qn]\&[Qn+1]\&[J]\&[K]\&0\&0\&0\&[x]\&1\&0\&[x]\&1\&0\&1\&1\&[x]\&1\&1\&[x]\&0\&]

根据图4和表5可以列出状态转换真值表及两个触发器所要求的激励信号,见表6。

表6 例2的状态转换真值表及激励信号

[[Qn1]\&[Qn0]\&[A]\&[Qn+11]\&[Qn+10]\&[Y]\& 激励信号\&[J1]\&[K1]\&[J0]\&[K0]\&0\&0\&0\&0\&0\&0\&0\&[x]\&0\&[x]\&0\&0\&1\&0\&1\&0\&0\&[x]\&1\&[x]\&0\&1\&0\&0\&0\&0\&0\&[x]\&[x]\&1\&0\&1\&1\&1\&1\&0\&1\&[x]\&[x]\&0\&1\&1\&0\&0\&0\&1\&[x]\&1\&[x]\&1\&1\&1\&1\&1\&1\&0\&[x]\&0\&[x]\&0\&]

据此,分别画出两个触发器的输入J、K和电路输出Y的卡诺图,如图5所示。图中,不使用的状态均以无关项x填入。

图5 激励信号及输出信号的卡诺图

化简后得到激励方程组和输出方程。

[J1=Q0AK1=AJ0=AK0=AY=Q1A]

(6) 画出逻辑图,并检查自启动能力

根据激励方程组和输出方程画出逻辑图,如图6所示。

图6 例2的逻辑图

如果发现所设计的电路不能自启动,还应修改设计,直到能自启动为止。

由上面所列举的设计方法可以想见,继续增加检测位数会使逻辑设计更加复杂。

从上例可以看到,传统的时序逻辑设计方法尽管可以用来实现时序逻辑的设计,但设计步骤不仅复杂且需要设计者大费周折。可以预见,使用传统的时序逻辑设计方法设计复杂时序电路的难度很大。那么,采用什么方法才能使教学与现代逻辑设计技术接轨呢?

时序电路也被称为有限状态机(FSM)[6,8],因为它们的功能行为可以用有限的状态个数来表示。在与可编程逻辑设计的对比分析中,这里采用FSM设计这个序列检测器。

根据图3的状态转换图(采用图4中化简的状态转换图亦可),给逻辑状态[a,b,c,d]分别分配以Gray编码(00,01,11,10)。之所以采用Gray编码方法,是可以省掉序列检测中的计数检测。序列检测器的FSM逻辑如图7所示。经仿真验证,符合设计要求。

图7 例2的FSM实现

从上面的对比可以看出,传统时序逻辑设计以人工逻辑分析为基础,现有逻辑器件为基础构件,历经基本逻辑方程转换及最后的状态验证等多个环节,设计周期长,仅适合设计小规模、时序简单的逻辑单元[9];现代标准逻辑设计语言的设计方法以逻辑状态转换本身为要点,从逻辑门与触发器级逻辑设计上升的行为逻辑设计,更易于用来设计复杂的现代大规模时序逻辑。

3 结 论

现代逻辑设计方法的引入将逐渐转化人们对传统逻辑设计的关注点,大学基础教学中逻辑电路的设计方法也应随着这一技术的引入更新它的内容,改变传统逻辑设计占主导地位的现状。可以预见,大规模可编程逻辑器件的引入将会从根本上改变数字电子技术的教学模式。现代逻辑设计概念的引入,减少手工逻辑设计方法的比重、增加现代数字电路设计方法,注重基本概念的灵活运用都是数字电路教学改革的选题。广泛开展现代逻辑设计方法的研究,势必带来逻辑设计方法教学的变革。对于高等学校的教师来说,做好改革的思想准备已经是刻不容缓的了。

参考文献

[1] 鲍家元,毛文林.数字逻辑[M].北京:高等教育出版社,2002.

[2] 吕乐,杨爱琴.谈《数字电路与逻辑设计》课程教学改革[J].中国成人教育,2008(3):125?127.

[3] 李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报:自科版,2004,1(4):124?125.

[4] 侯建军,路而红,熊华刚,等.数字电子技术基础[M].2版.北京:高等教育出版社,2007.

[5] 易亚军.《数字电子技术》教学研究[J].教育研究,2008(6):121?122.

[6] 康华光,邹寿彬,秦臻.电子技术基础:数字部分[M].5版.北京:高等教育出版社,2006.

[7] 邓水先.《数字逻辑电路》课程的教改探索[J].职业教育研究,2008(8):68?69.

篇2

【关键词】数字电路课程;实践平台;工程设计;实验

1概述

在教学过程中,具备数字系统设计实践工程能力,涉及相关数字系统课程体系教学与实践,在各高校的电气、电子信息类专业中,数字电路是一门专业基础课程,随着数字技术应用领域的不断扩大,在后续专业课程中,显而易见,随着电子产品数字化部分比重增大,它在数字系统设计中基础性地位越来越突出。

因此,培养适合现代电气、电子、信息技术发展的卓越人才,创新数字电路的课程几次理论与工程实践教学迫在眉睫。

根据我校近几年电气、电子课堂教学的实践情况,数字电路课程应该以面向应用的数字电路设计为核心,在熟练掌握基本电路教学内容的基础上引入先进的数字系统设计方法的课程教学和实践内容。

工程实践过程中,逐步从自底向上的设计方法逐步转变到自顶向下的设计方法中来,以教师科研应用来拓展,以全面培养优秀数字设计卓越技术人才[1]。

2探索构建数字电路教学中的多层次的创新实践平台

2.1多层次的数字电路创新实验平台构思。

面向卓越人才培养的数字电路课程创新实践教学,可以分层次进行在各个教学阶段逐步推进,包括:面向基础的数字设计的基本原理与工程创新实验教学模块、面向应用的数字电路课程设计教学和结合科研项目的创新实践平台[2][6]。

多层次的数字电路创新实验平台架构如图1所示。

2.2数字设计的基础原理与实验教学。

数字电路基础原理和实验教学是数字系统设计的课程体系的基础入门阶段,是培养数字逻辑代数与逻辑电路的重要过程,大类可分为时序逻辑电路和组合逻辑电路,其中时序逻辑电路主要包括:锁存器、触发器和计数器,组合逻辑电路包括,编译码器、多路复用器、比较器、加(减)法器、数值比较器和算术逻辑单元等。教学的目的是训练学生掌握组合和时序逻辑电路坚实理论基础,使学生掌握数字电路的基本概念、基本电路、基本分析方法和基本实验技能,不但要注重基本数字电路与系统设计理论的理解,同时让学生在学习中逐步了解面向应用和现代科技进步数字电路新的设计理念[2][3]。

2.3面向应用的数字电路课程设计实践教学。

随着电子设计自动化技术(EDA)和可编程器件(CPLD)的不断发展和应用,以EDA技术为主导的数字系统理念已经成为企业工程技术的核心。数字电路课程设计主要培养学生利用中小规模数字集成电路器件和大规模可编程器件进行数字电路设计和开发能力。在卓越工程师培养背景下,结合前阶段数字电路课程理论教学和实验教学的实际情况及EDA技术的发展状况,适时进行数字电路课程设计和EDA技术课程的综合衔接,以及课程深度融合[4]。主要内容包括:

2.3.1基于Multisim等相关软件的数字系统仿真实验。可以构建虚拟数字实验系统,不但较好地模拟实物外观外,还可以利用系统提供的实验平台开展实验的设计、仿真,进行实验内容的逻辑验证。

2.3.2基于通用和专用数字芯片的数字系统设计。其主要特点是有很好的直观性和具体性。

2.3.3基于硬件描述语言(HDL)的数学系统硬件描述。采用硬件描述语言实现数字逻辑设计,基于EDA环境仿真和验证。可以结合上述(1)和(2)的优点,采用硬件设计软件化技术应用于数字电路课程设计的实验教学中,通过综合性实验的自行设计和实验,对实验内容、实验规模、实验方法进行了综合创新设计[5]。

2.4结合科研项目的数字设计实验创新平台。

在高等院校,教师即承担教学任务,同时有各自的科学研究方向,同学们可以根据自己的研究兴趣,加入教师的科研团队,形成教学与科研互利的良性循环。面向卓越工程师培养的数字系统设计,可以借助横向或纵向科研项目形成综合教学体系。比如:搭建在线可编程门阵列(FPGA)创新实验平台,形成数字电路、电路线路课程设计、可编程逻辑器件以及集成芯片系统设计,形成面向数字系统设计的课程体系[3]。同时,应用高校与知名企业建立的校企合作平台,把企业界的研究信息和研发需求引入到教学平台,开拓了学生的研究思路和视野,提升了学生设计复杂数字系统的能力;目前,我校正在与国际知名的半导体公司Xilinx、Altera和Cypress陆续建立卓越人才大学培养计划,利用大学设置小学期,在FPGA和PSoC开发平台上进行了面向实际应用的数字系统设计,在实践平台上不仅有学校的任课教师,还有知名企业派来的一线工程师指导同学们的实践,相比改革前,取得很好的实践效果,同学们的数字系统设计水平得到了提高,同时在编程、接口、通信协议等方面也有了深刻的认识。

对于优秀的学生,借助全国各种形式的大学生电子(信息)设计竞赛这个创新平台,组织他们积极参与,激发他们的学习研究兴趣和创新意识,综合所应用的数字系统设计知识,发挥竞赛团队的协作精神。每年,我们都有部分优秀学生通过努力,创新设计的作品获得专业认可,并取得了良好的参赛成绩,也使得数字设计课程体系的建设上了一个新的台阶。

3基于创新平台的课程体系优化与实践

卓越工程师培养要求的数字电路系统设计课程体系协调好相关电气、电子类专业上下游相关理论课程、实验综合性设计同时得到协调发展。如何实践论文所提到的创新实验平台,应该引进现代数字设计理念,重点把EDA软件、设计工具、开发平台与传统的数字电路基础理论教学相衔接。我们在这几年对数字系统设计课程体系、创新实践教学内容等方面的进行了改革与探索,取得了一定的成效。经过这几年的实践,我们逐步构建了面向应用的数字系统设计课程优化体系[5],如图2所示。

4不断探索数字电路理论教学内容的改革与实践

4.1以数字电路设计为目的强化基本逻辑电路理论教学。

在进行复杂数字系统设计之前应该熟练掌握这些常用基本组合和时序逻辑电路,包括电路的功能、电路的描述以及电路的应用场合等。

树立电路设计思想首先需要熟练掌握一些基本的逻辑功能电路。其次,树立电路设计思想需要理论讲解与实践相结合,逐步熟悉硬件描述语言的描述方式。数字系统设计强调采用硬件描述语言来对电路与系统进行描述、建模、仿真等[2][3]。

4.2掌握面向应用的数字系统工程设计方法。

学生在掌握数字电路基本概念和一般电路的基础上,进一步掌握数字系统设计的方法、途径和手段。其主要内容包括:数字系统与EDA的相关概念、可编程逻辑器件、硬件描述语言、电路元件的描述、数字系统的设计方法、开发环境与实验开发平台以及应用实例的介绍等。这些课程内容涉及面较广,为了提高教与学的效果,探索总结了以下的教学重点内容,并作为教学实践中的教学切入点[1]。

随着电子技术不断发展与进步,现代数字系统设计在方法、对象、规模等方面已经完全不同于传统的基于固定功能的集成电路设计[1][2]。现代数字系统设计采用硬件描述语言(HDL)描述电路,用可编程逻辑器件(PLD)来实现高达千万门的目标系统。这一过程需要也应该有先进的设计方法。根据硬件描述语言的特性和可编程逻辑器件的结构特点以及应用的需要,在教学过程中阐述了先进设计方法。例如:采用基于状态机的设计方法设计复杂的控制器(时序电路),应用或设计锁相环或延时锁相环来处理时钟信号,应用自行设计(IPcore)软核来提高数据吞吐量[1][2][3]。

4.3深化数字电路实验教学改革。

实验实践教学过程中,注重基础训练与实践创新相结合的实验教学改革思路,加强学生工程思维训练、新平台工具的使用、遇到逻辑问题的综合分析能力,理论与实践相结合的分析能力。在实践过程中的提高创新性和综合性能力,面向应用的数字电路创新平台建设,需要不断提高课程试验、实验和实践过程在教学中的比例,在符合认知规律的同时,逐步加强来源与实际需要的综合性数字设计实验。

5结语

数字电路是电气、电子信息类专业的一门重要的专业基础课程,论文针对当今卓越工程师培养的要求,以及在教学过程中遇到的主要问题,探讨了面向应用的数字电路课程创新实践平台。提出了多层次的数字电路创新实验平台结构和面向应用的数字系统设计课程优化体系。目的在于,通过课程及相关课程体系改革与创新,使得学生更快、更好的适应现代数字技术发展的需求。

参考文献

[1]孔德明.《数字系统设计》课程教学重点的探讨,科技创新导报,2012.1,173-174.

[2]任爱锋,孙万蓉,石光明.EDA实验与数字电路相结合的教学模式的实践,实验技术与管理,2009.4,200-202.

[3]叶波,赵谦,林丽萍.FPGA课程教学改革探索,中国电力教育,2010,24,130-131.

[4]秦进平,刘海成,张凌志等.电类专业数字系统综合实验平台研制,实验技术与管理,2012.6,75-78.

篇3

关键词:计算机专业 课程进度 数字电路与设计

中图分类号:G642.0 文献标识码:C DOI:10.3969/j.issn.1672-8181.2013.15.132

“数字电路与逻辑设计”是电气信息类专业一门重要的专业基础课。该课程是后续专业基础课和专业课的先修课程和基础,是学生开展课外科技创新活动的必备知识,是解决工程实际问题的重要理论和方法,结合目前的实际情况,对数字电路与逻辑设计教学进行改革。

1 数字电路与逻辑设计的本质

数字电路与逻辑设计是计算机科学与技术必修的一门重要课程。该课程中介绍了与数字系统相关的知识,体系等。设置这门课程的重要性在于让学生能够更好地了解数字计算机和其他系统的基本逻辑电路,能够熟练运用课程中所学到的知识并在实际操作中对案例进行分析,客观地提出要求。

通过这门课程的系统学习,可以加强同学的逻辑思维能力,落实到具体工作中,可以解决具体问题,可以对系统硬件进行检测,并有一定的创新能力。数字电路课程教学之所以进行改革是为了提高学生对计算机硬件设施的了解,为日后的学习做铺垫。我们从计算机科学的角度划分,可以把其课程分为:分析电路,数字电路与逻辑设计,微机原理等。从这些课程不难看出,数字电路与逻辑设计起的是承上启下的作用。

2 电子技术的广泛应用加快了数字电路的发展

现阶段,是科技的时代,电子技术已经应用广泛,电子元素是计算机和电路不可缺少的构成元素。国民经济和国防各领域的逐渐渗透,使得数字电子技术在相关专业的地位越来越重要。通过探讨,认为要对以前的教程进行革新,减少理论性过强的内容,着重掌握数字集成电路器的特性与实际运用,将重点放在学生的实际操作上面。

此外要加强创新能力的培养,引导学生们多进行课外实践活动,让学生们把课堂上所学的知识用于实践,这样让学生们在实践中总结理论知识,有利于学生们知识的全面掌握。多媒体技术可以形象并明了地展示复杂的图表,便于老师课堂上的教学,还方便了学生们观看和理解。更重要的一点是,它节约了课堂信息量,增加了课堂上的教学内容。以培养学生创新精神和实践能力为主线,坚持“三个结合”,实现“二个转变”,达到“一个提高”。坚持实践内容与理论知识相结合,创新实验与科学研究相结合,课堂教学与课外实验相结合;实现由基础验证性实验向综合设计性实验转变,由传统型实验向创新型实验转变;达到学生实践能力和创新精神的提高。提高教学的工作环境,利于开展实践教学,从而有利于人才的培养和教学质量的提高。围绕实践这个中心,增加新的教学内容,根据电子信息技术的专业特点,制定科学的实验课程,在内容中多以实验为主,增加教学模板,提高教学方法,总结出一套科学性、系统性的教学体系。

3 数字电路教学的改革方向

由于数字电路与逻辑设计的实践性很强,所以,在实际的教学改革中要做到周全考虑,针对各项内容都要做出调整。还需要注意的是,做到书本上所学的知识配套进行实践。理论结合实际,多结合实际情况进行训练。其内容包括:工具运用能力,绘制电路,电路分析能力,项目综合能力等。

3.1 课程体系的调整

为了更好地适应电子科学技术的发展,要优化课程结构的总体要求出发,进行模块化的设计,使数字电路与逻辑课程内容体系具有系统性,科学性,先进性等。

数字电路与逻辑设计基础从课程内容上被分为两大块。数字电路介绍了数字系统的组成,数字信号的特点等;在内容上先逻辑电路,逻辑部件,先单元电路后系统电路等等。数字电路多以理论为重点,在讲解中多涉及外部逻辑功能。数字电路部分多以运用为主。这样的课程组合可以让学生对数字电路更加了解。

3.2 教学内容的调整

数字电路与逻辑设计的课程很多,为了让学生在有限的实践内把课程学好,要求教师掌握基本理论的同时有效地组织课程教学。在介绍运用时,要根据其不同的侧重点进行分析。实验教学从随堂实验到改革教学后进行独立实验,这其中包括验证性实验等。

通过有效的组织,可以增加学生们的实践操作,调动学生们的积极性,从而有助于知识能力的提高。

3.3 加大实践的内容与次数

数字电路与逻辑设计在教学中需要增加实践内容,这有利于课程的安排,更提高了学生们的动手能力。在实践中发挥良好的教学效果,要合理地拆分实践内容:①基本实验;②设计实验。我们来了解一下这两种实验的概念:基本实验室使用电子仪器的能力;而设计实验则是为了实现逻辑功能,而采用的是数字系统。在设计实验中鼓励学生自拟实验的项目,并将课外活动结合进来,使学生的思维更加广阔。

目前的电子大赛就是为高校的改革服务,它是结合了电子信息的专业内容,这种比赛在教学改革中起到了引导的作用。这十多年来,在全国开展了很多电子计算机的竞赛,这些竞赛对高校体系改革帮助十分明显,它有助于有才能的年轻人展示自己的能力与专业水平。在电子竟赛出题中增大数字电路EDA的内容可以引导高校建设EDA的实验室,例如:SOPC(系统集成芯片)是我国“十一五”制定的重大专项,目前全国已在12个高校中成立了集成电路人才培养基地。

4 结语

现阶段是电子化的时代,科学的进步带动了电子技术的广泛应用。大量的可编程器件被采用,这使得传统的数字逻辑方法明显变化。计算机的应用范围越来越高,使得人们对计算机的认识逐渐深刻,计算机的设计理念开始突破原有的范围。数字电路与逻辑设计在各种现代技术的合力推动之下,得到了明显的提升,可以做到使学生紧跟在市场的前沿。所以,数字电路和逻辑设计的改革加快了这门科学的发展,提高了学生们解决实际问题的能力,给学生们的就业和发展打下了坚实的基础。

参考文献:

[1]李晓辉.数字电路与逻辑设计[J].

[2]曹魏,徐东风.计算机教育[J].

篇4

关键词:卡诺图 标准与或表达式 数字电路

卡诺图简介

卡诺图是1953 年美国贝尔实验室的电信工程师 Maurice Karnaugh 在维奇图的基础上提出的一种用于化简逻辑函数的方法。这种方法简单、直观、方便的特点使其在数字电路的分析和设计中得到了广泛的应用。由于在大多数的数字电子技术或数字电路课程的参考教材中,主要讲解卡诺图在逻辑函数化简中的应用,从而导致初学者往往以为卡诺图只是数字电路分析和设计中用以化简逻辑函数的一种工具,其实不然,灵活地运用卡诺图,可以使逻辑电路的分析和设计过程大大地简化,让一些难题迎刃而解。

1.卡诺图在逻辑函数化简中的应用

(a)每个乘积项都有三个因子。

(b)每一个变量都以原变量或者反变量的形式,作为一个因子在乘积项中出现且仅出现一次。

文献[2]中也介绍了用卡诺图完成两逻辑函数的逻辑运算以及组合逻辑电路竞争冒险中的卡诺图的应用方法,总之,卡诺图在数字电路的分析和设计中有着重要的作用。

2.卡诺图求解逻辑函数的标准与或表达式

事实上,卡诺图还有一个重要的应用,然而这一应用,在数字电子技术或数字电路课程的参考教材中一直没有介绍,至今也没有文献提及,那就是利用卡诺图求解逻辑函数的标准与或表达式。这种方法方便,简单,准确。这也正是本文提出的卡诺图的另一种新的应用。

(1)求解标准与或表达式的常用方法

3.总结

卡诺图在数字电路的分析中有着广泛的应用。 它的优点是简单、 直观、 使用方便,而且有一定的步骤和方法可循。在数字电路的教学中,除了使用卡诺图化简逻辑函数以外,还可以使用卡诺图求解逻辑函数的标准与或表达式,这种方法要比使用公式和定理推导的方法方便、简单、准确。

参考文献:

篇5

关键词:数字电路;抗干扰技术;主要因素;主要方法

数字电路的开发过程中必定会接触到各式各样的干扰因素,其中主要是受到硬件干扰。因此,在解决方法上主要采用的是抑制干扰源,切断干扰传播路径并提高敏感器件的抗干扰能力,使用软件降低对数字电路的干扰,对数字电路进行一定的补救,从而使得数字电路能够正常运行,推动数字电路设计技术的进步。

1数字电路设计中形成干扰的主要因素分析

在数字电路设计中,形成干扰的主要因素有3个:干扰源、传播路径和敏感器件。干扰源是指在电路中确定会产生一定干扰的元件、设备或者是信号。在实际操作过程中,雷电、电机和继电器等都可能成为干扰源,对电路形成巨大的干扰,并且在某些数字电路中是无法被去掉的。传播路径干扰是指在干扰源传播到敏感器件的通路或者是媒介遭到一定的干扰。传播路径的干扰通常难以控制,干扰性会随着传播路径的增加而有所增加。在实际操作过程中,空间的辐射、信号线等都会干扰传播路径。敏感器件是指某些容易扰的对象,包括单个设备或者分系统等,为了功能的需要,某些敏感器件的干扰是不可去除的。在实际操作过程中,主要指信号放大器、数字IC等。

2数字电路设计中抗干扰的主要方法分析

在数字电路设计中使用的抗干扰技术主要是抑制干扰源,切断干扰传播路径并提高敏感器件的抗干扰能力等方法。同时,在某些硬件设施难以达到的时候采用软件方法进行数字电路防干扰,最大化地减少干扰项目对数字电路的干扰,保证数字电路在使用过程中的安全,确保数字电路的正常运行。

2.1对干扰源进行主动抑制

抑制干扰源是抗干扰技术的主要方针,通过尽可能地减小干扰源受到的干扰,实现抑制干扰的作用。对干扰源进行主动抑制,主要是通过在干扰源两端并联电容和在干扰源回路串联电感或电阻以及增加续流二极管。首先,为继电器线圈增加续流二极管,通过增加续流二极管实现对干扰的消除作用。其次,为续电器接点两端进行火花抑制电路的连接,以减小电火花对电路的影响。第三,为电机增加滤波电路,尽量使用减小引线的长度,增加电机的防干扰能力。第四,为电路板上的IC均接上一个高频电容,减小IC对电源的影响。同时,在布线过程中,同样要遵从使用较短引线的原则,以改善使用情况。第五,在实际布线过程中,应尽量采用直线布线,避免使用接近90度的折线。第六,为可控硅接上抑制电路,在防护可控硅的同时减小噪音干扰,对干扰源进行控制。

2.2对干扰传播路径进行选择性切断

传播路径型干扰主要分为传导干扰和辐射干扰。传导干扰就是指在数字电路中通过导线传播到敏感器件时的干扰,主要辨别方式是通过导线。辐射干扰是指通过空间辐射传播到敏感器件时的干扰,主要辨别方式是通过空间辐射。2种干扰方式不同,但是对数字电路的干扰影响效果都相对较大。对于传播路径干扰,主要采用切断和隔离的方式进行控制。首先,考虑到电源对单片机的影响。一方面,要使用效用比较良好的电源,能够在很大程度上解决干扰问题。另一方面,可以给电源增加滤波电路,最大限度地减小电源的影响。其次,在单片机的选择上要选取干扰较小的接口,并注意做好隔离。第三,晶振和单片机之间的距离要尽量减小,通过良好的布线减小传播路径的干扰。第四,要注重对电路板进行合理的分区管理。在分区管理时应该尽量将干扰源和敏感器件分隔并达到一定的距离,保证整个电路系统的稳定。第五,将数字区和模拟区相隔离。在实际操作过程中,一定要注意使用地线将2个分区进行隔离,减小干扰。第六,大功率器件要使用独立地线。对于功率较大的地线通过单独接地,减小干扰。第七,在关键的接口要使用类似磁珠和滤波电路等必要的抗干扰器件。

2.3充分提高敏感器件的抗干扰能力

除了对干扰源进行主动抑制和对干扰传播路径进行选择性切断,还要充分提高敏感器件的抗干扰能力,从敏感器件方面尽量减小敏感器件对整个数字电路的干扰。第一,在布线的时候要尽量避免大面积进行回路环设计,通过缩短其面积来降低干扰。第二,在实际布线过程中,在电源线和地线的选取上以粗短为优,尽量降低干扰。第三,单片机中闲置的接口要在不改变系统逻辑的前提下做好接地或接电处理,尽可能避免悬空造成的干扰。第四,要使用好监控系统,保证单片机处于良好的工作状态。第五,尽量选取低速的数字电路,但是要保证速度能够满足实际工作中的要求,确保实际工作的可行性。第六,IC器件应该尽量直接进行焊接工作,尽量避免选用某些连接插座,从而减少干扰,保障数字电路的实际可行性。

2.4使用软件降低干扰

除了在硬件方面采取措施降低干扰,在抗干扰技术的使用上还要注重从软件方面出发,比如利用数字滤波技术、输入信号重复检测技术、输出端口数据刷新技术、软件拦截技术以及“看门狗”技术来降低干扰。

数字滤波技术是指在软件中对采集到的数据进行电磁兼容消除干扰的处理。一般来说,除了在硬件中对信号采取抗干扰措施之外,还要在软件中进行数字滤波的处理,以进一步消除附加在数据中的各式各样的干扰,使采集到的数据能够真实地反映现场的工艺实际情况。数字滤波技术相对来说算法灵活,效果良好。

输入信号重复检测技术是指在输入信号过程中存在着输入干扰而又难以使用硬件进行抑制时,采用软件重复检测技术,从而最大限度地减少干扰。在重复检测过程中,如果信号一直变化不定,在达到一定程度时,可以给出相应的报警信号。输出端口数据刷新技术也是采用重复输出的方式降低数据干扰。通过数据的重复输出,从而使得正确信息能够在不断传输中跳过干扰。

软件拦截技术通过对程序运行过程中的“乱飞”现象进行拦截,使得程序摆脱软件程序的“死循环”,最终使得运行通过正常程序进行,保证了程序的有效性和稳定性。

“看门狗”技术是数字电路中的重要抗干扰技术。由于软件拦截技术存在一定的局限性,对某些难以控制的程序“乱飞”现象无法正确地拦截,程序也就难以摆脱“死循环”。而通过“看门狗”,能够对程序进行良好的监控。当程序受到干扰发生混乱时,由于程序逻辑已经受到了破坏,程序在混乱的情况下无法对“看门狗”进行设置,进而导致看门狗定时溢出,导致系统重新运行,摆脱瘫痪状态,保持良好的系统运行。

篇6

关键词 数字电路;故障检测;原因

中图分类号:TP277 文献标识码:A 文章编号:1671-7597(2014)09-0094-02

伴随着经济技术的迅猛发展,采用数字电路技术的产品遍布在大家生活的各个角落。正因为如此,电力工程方面的技术员在研发设计、调试安装、后期维护数字电路时可能会遇到一些问题。于是精通检测诊断数字电路故障的方法是保证数字电路能够有效研发生产的重中之重。

1 产生数字电路故障的原因

1.1 电路元器件的老化

电路元器件在使用时由于相互摩擦就会对其造成一定的损坏。电路元器件多数是金属质地的,如果长时间使用的话,电路元器件就会老化并且其参数性能也会变得很差。甚至一些电路元器件在极冷或极热的情况下就会导致其参数值的改变。

1.2 电路元器件接触不良

电路元器件接触不良是致使数字电路出现故障的最普遍的因素。在平时由于使用不当或没有妥善保管好,电器外壳遭到破坏,就可能发生电路元器件在潮湿的空气里或不小心把水溅进电器里这样的事情,于是就氧化了电器元件内部的焊点,电路板就极可能出现故障。

1.3 设备工作环境

设备能否顺利工作是要具备一定条件的,由于空间资源的限制不是全部的设备都能在完全没有干扰没有影响的的环境中工作,所以当工作环境如温湿度、电子磁场改变等不符合电路设备的需求的时候,数字电路便会出现故障,要想设备正常工作就很难了。

1.4 电路元件使用期限

不止是食品,电路元器件也有使用期限。在规定的使用期限内它的参数性能才最优。假如超出了使用的期限,电路元器件就会老化、参数性能降低,设备发生故障的机率就会变大。

2 数字电路故障的特点

2.1 数字电路特点

数字信号不管是在时间上还是在数值上都离散,数字电路是用来处理变换调制和解调这些信号的电路。其工作原理是利用“0”、“1”两个高低电平来表示离散的信号,看起来很繁琐,实际上基本电路非常简单。除三态门以外,输出状态不是高电平就是低电平。

数字电路根据逻辑功能可分为时序逻辑电路和组合逻辑电路两种。按照功能说,时序逻辑电路具有记忆和表达功能,这一功能是由有着存储功能的触发器构成的电路来实现的。然而存储电路的输出状态必须在输出端上表现出来,并且要与输出端逻辑运算后来决定时序电路的输出电平。而组合逻辑电路是由多种电路构成的,那一时刻输入的电平来决定组合逻辑电路的输出电平,且它和之前电路的输出电平并无直接联系。

图示逻辑电路门级描述

2.2 故障特点分析

进行数字电路检测和诊断时,一定要根据时序逻辑电路和组合逻辑电路各自的顺序,仔细地观察数字电路的电平,判断是不是正常。然后逐个检测以发现产生故障的位置。除此之外,数字电路也是有一些物理缺陷的,组成集成电路的门和记忆元器件都封存在一个芯片里,所以对电路输入输出波形没有办法直接观察以致于检测它们的电平高低时困难重重,要想及时地查找到数字电路出现故障的位置,就要研究出方便且可行的检测电路故障的措施。

3 数字电路故障检测方法

3.1 直接观察检测法

有一些工作经验的电路维修者经直接观察来推理出现电路故障的大概位置。经过问询在发生电路故障时的现象来判断一下发生电路故障的可能原因,这样做既省时又省力。比如,电灯突然很亮然后又灭掉了,我们应考虑可能是短路造成的,然后查找出现故障的位置,最后解决问题。

3.2 比较检测诊断法

进行数字电路故障检测时,比较法是所有检测方法中较为常用的方法。一般情况下都需要尽快地检测出数字电路出现故障的问题,以便及时地解决,这时首先测试电路的关键点,记录下测试的参数值,再找没有损坏的,能正常工作的器件,对相应的关键点的参数值进行测试,比较两组参数值,数字电路发生故障的位置就在参数值不同的地方。然而大部分电路的故障发生的位置都在很细微的地方。由于在数字电路元器件生产时,厂商会特别注意电路板薄弱的关键点上,来保证器件的质量,于是电路故障发生的位置常常不在电路板的这些关键点上。于是比较检查法还有一定的缺陷。

3.3 替代检测法

电路复杂时,一般方法检测不出故障时,这时用替代检测法来检测电路故障位置。替代检测法就是用同样的电路元器件来替换数字电路里的电子元器件,不过代替电路元器件的元器件参数性能要好一些,不然的话仍然没有办法检测出电路故障出现的位置。当质量好一点的电路元器件替换好后,连接上电源,观察电路板是不是能正常地工作。假如能正常工作就表明原电路元器件出现了故障,反之,就表明原电路元器件没有故障。不管怎么说,替代检测法在一定程度上也是费力和麻烦的。

4 结束语

现如今科学技术快速发展,数字电路也显得尤其重要。只有探究出数字电路检测诊断的好方法,才能更好地把数字电路运用到现实生活里。要及时预防并解决可能出现的电路故障,防止给大家的生活带来极大的不便。于是在此基础上,我们要不断地寻找出数字电路检测诊断的方法和措施,及实地解决实际的电路故障,为大家的优质生活服好务尽到责,以满足社会进步发展的需求。这一切都需要专业人员以及非专业人员的共同努力来完成。

参考文献

[1]孙春辉.浅谈数字电路故障检测方法与技巧[J].技术开发,2010,05(03).

[2]吕俊霞.数字电路故障的基本检测技术[J].检测与制作,2009,11(09).

[1]郭希维,苏群星,谷宏强.数字电路测试中的关键技术研究[J].科学技术与工程,2008.

篇7

关键词 数字电路 教学理念 教学方法 创新教学

中图分类号:G424 文献标识码:A

Take Teaching Philosophy and Teaching Methods as the Starting Point to Promote Innovative Teaching of Digital Circuit Courses

BAI Xuemei, LIU Shuchang

(Electronics Experiment Teaching Center, Changchun University of Science and Technology, Changchun, Jilin 130022)

Abstract Digital circuit course is an important professional basic course of electrical specialty. In recent years, in order to highlight the basic digital circuit courses, engineering and advanced, has been engaged in various attempts of reform and innovation. But the premise of every innovative teaching should be based on teaching philosophy and teaching methods in-depth innovation, under the guidance of the right teaching philosophy, in the practice of appropriate teaching methods, we can promote innovative teaching of digital circuit courses.

Key words digital circuit; teaching philosophy; teaching methods; innovative teaching

0 引言

数字电路课程作为电气信息类专业重要的专业基础课之一,围绕我校的人才培养目标,以突出其基础性、工程性和先进性的课程目标,进行课程建设和创新教学改革。通过数字电路课程的学习,使学生在掌握数字电路与逻辑设计的基本理论和方法的基础上,能运用先进的EDA 工具,结合工程应用,进行数字电路和数字逻辑的分析与设计。数字电路课程开设在第四个学期,与先行课程电路分析、电子线路紧密结合展开拓展,并为后续课程微机原理等课程打下了坚实的基础。其课程培养目标是使学生掌握数字逻辑电路分析和设计的基本原理和基本方法;使学生能灵活运用所学原理和方法,自顶向下和/或自下向上地分析和设计数字逻辑系统;通过科学而系统的实验训练,培养学生逻辑思维能力,分析和解决问题的能力,培养学生知识自我更新和不断创新的能力。围绕着这一教学目标,课程的设计和教学实现应当以先进的教学理念和科学的教学方法为出发点,才能实现教学的创新性。

1 数字电路课程中先进的教学理念

教学理念是人们对教学和学习活动内在规律的认识的集中体现,同时也是人们对教学活动的看法和持有的基本的态度和观念,是人们从事教学活动的信念。现代教学理念注重以学生、作业、活动为中心,以学为主,教师在教学过程中起辅助和引导的作用,学生拥有主动权。教师主要工作是设定情境,让学生产生兴趣,发现问题,并在教师引导下,探索研究问题,找出解决方法并进行验证的一系列过程。①

1.1 教学设计

数字电路课程包括理论教学和实验教学。理论教学48学时,实验教学16学时。同时,还有与课程相应的实践环节——数字电路课程设计(1周)。在课程教学过程中,从逻辑代数基础出发,以组合逻辑电路、时序逻辑电路以及模数接口电路为重点,以逻辑电路的分析、设计和应用为最终目标,培养学生对数字系统的分析和设计能力。

1.2 教学内容整合

根据数字电路课程体系和目标要求,将课程划分为若干个教学阶段模块,对各阶段模块进行教学目标设计;在教学过程中做到点与面的结合、深入浅出,既掌握电路的细节内容又能充分把握各章节的知识体系。同时,把分章教学、阶段教学和项目教学相结合,在教学中在充分体现各章节间统一性的基础上,着重阐述各自的特殊性,强调工程观点和整体设计概念,注重工程问题的处理方法,培养学生的综合能力,加深学生对课程的理解。在布局好本门课程的同时,还要重视与相关学科内容的衔接,不断深入研究和探索,及时调整教学内容,使本课程在教学中尽可能体现知识点与其他课程的关系,为后续课程打下基础 。

在教学过程中注重对学生能力的培养,讲授给学生的不仅仅是知识点,更是培养学生知识获取能力和知识应用能力。例如,在讲到时序逻辑电路分析和设计时,无论是计数电路、序列信号产生电路、序列信号检测电路,重点强调“状态”的概念,进而引入一些应用实例,如彩灯设计电路、自动售货机等,从一个整体的类别去讲解,将课程讲授提高到一个新的高度。而学生所收获的不再是一个个孤立的电路和概念,而是一个整体的、有机结合的知识体系。学生会对数字电路课程的兴趣剧增,对整体的设计方法有一个飞跃的认识和提高。通过课程内容的合理安排和整合,让学生掌握科学的学习方法和设计数字电路的能力。更有意义的是,还可以提高学生的专业兴趣。由传统的学习转化为创新性的学习,让学生的思考能力和学习模式发生根本性的改变。

2 在数字电路教学中引入合适的教学方法

(1)采用多种教学方法,激发了学生的积极性和主动性。在理论教学中采用以老师讲授为主,兼用启发式、互动式和讨论式等教学方法,体现老师的主导作用与学生的主体作用。本课程注重培养学生逻辑抽象思维能力,并且逻辑设计的方法十分灵活,教师授课要注重启发式教学,给学生思考的空间,使之能够由此及彼,举一反三。同时,在教学中强调采用互动式教学,克服学生被动学习的局面。课堂上不仅仅是教师提问学生,同时鼓励学生随时向教师提问。并适当地组织讨论,让学生提出自己的思想和方法,由被动学习变为主动学习,激发同学们学习的潜能,培养了学生的兴趣与学习的能力。同时,合理利用网络教学资源,扩大学生的学习空间。

(2)注重理论教学与实践教学相结合,培养了学生的综合实践能力。数字电路与逻辑设计是一门实践性很强的课程,理论与实践的结合十分紧密。教师不仅要具有扎实的专业理论功底,也要具有较熟练的实践技能。要求教师对本门课程的理论和实践相结合的教材分析及过程组合的能力。②因此,在教学过程中,应该始终坚持理论与实践的统一,二者相互促进。一方面在学时安排上,理论课与实验课衔接,实验内容与教学内容互相渗透与加深,另一方面采用分层次教学,即采用验证型、设计型及综合型三层次教学,尤其是一些综合开发实验,不仅延伸了教学内容,而且对理论知识进行综合应用。同时,本课程既要掌握灵活的思维方法和系统的理论知识,又要强调工程实施能力的训练,让学生了解理论设计方案与工程实施之间的距离,训练学生严谨、务实的作风。

(3)课程中贯穿EDA软件的应用,培养了学生的实践能力。在课程中注重引入新器件、新技术、新方法,在课程中贯穿EDA软件的应用,要求学生以自学和实验为主掌握EDA软件的使用方法。在综合实验和系统实验中,要求利用EDA软件进行分析、设计、仿真,然后再具体实现,使学生学会电子电路先进的科学的设计方法,培养学生自己解决问题的能力和创新意识。培养学生完整数字电路系统的设计和实现方法。自顶向下,设定好各个部分的功能要求,将学过的电路模块自行组合,先在EDA仿真中软件实现,然后下载到硬件电路中。也可以到硬件实验室进行纯硬件电路的搭建,完成最终测试。

3 小结

数字电路课程创新教学的推进,依靠各个方面的配合,也需要从各个角度去理解,但是只有从根本上解决教学理念和教学方法的革新,才能从真正意义上去推进数字电路课程的创新教学。

注释

篇8

关键词:教学改革;教学方法;教学资源;实践创新

中图分类号:G642.0 文献标志码:A 文章编号:1674-9324(2013)49-0050-02

为了适应当今世界经济、科技、文化发展趋势,满足社会各界对当代大学生的复合型、应用型和创新型人才要求,2012年10月教育部高等教育司编辑出版了《普通高等学校本科专业目录和专业介绍》[1]。新版专业目录中重新规定了专业划分、名称及所属门类,并提出了各专业的主要核心课程、专业实验和实践性教学环节等课程的示例。数字电路课程是电气、电子信息、自动化和计算机类专业的一门专业基础课程,是一门理论性和实践性都较强的课程。它的主要任务是通过学习数字电路的基本概念、基本原理和基本技能,使学生在数字电路及数字系统方面具有一定的理论水平和实践技能,该课程对于微型计算机原理、数字信号处理和数字图像处理等学好后继主要专业课程必不可少的基础知识,并提高学生的工程实践能力都有着极其重要的作用[2-4]。本研究通过立体式实验课程设计,把理论教学与实验课、课程设计、实训课程结合起来,大学一年级开始初步接触专业课程,可以增强教学的互动性、趣味性,培养学生学习单片机课程的积极性、创造性,并进一步降低了实验教学成本,具有一定的实际意义。本文的第一部分分析了数字电路课程的教学安排、学时分配和考核体系,第二部分主要分析了传统的数字电路实验教学模式和数字电路实验教学中遇到的问题,第三部分提出了数字电路实验教学中引入数字芯片设计的必要性,并提出了基于Quartus Ⅱ软件和FPGA开发板的实验内容和具体教学安排。

一、数字电路课程分析

在教学安排方面,数字电路课程是一门理论性和实践性都较强的基础课程,基本上不需要高等数学、大学物理、复变函数等前期理论基础。因此,可以安排在大一的第二学期(四年制本科);大一的短学期中可以安排“数字电路实训课程”,通过数字电路实训课程进一步提高学生的操作能力和创新能力;大二的第一学期中可以安排“数字芯片设计课程”或“集成芯片设计课程”,在此课程中首先学习VHDL语言,然后再学习Quartus Ⅱ可编程逻辑器件设计软件的使用方法和上机实验,并通过FPGA开发板来学习数字系统的设计和应用;基于以上基础,大二的第二学期学生可以开始在教师的指导下参加校内外各种设计竞赛,并在大二开始为即将学习的微型计算机原理、数字信号处理和数字图像处理等专业必修和选修课程奠定坚实的理论基础。学时安排方面,数字电路理论课程可以安排3学分/48学时,实验课程1学分/16学时,共4学分/64学时。课程改革积极探索教学活动和考核方式的多样化,考核形式可以包括笔试、实验课程、综合性创新设计等。该课程的考核可以包括:①期末的笔试,考核基本知识,理论课程成绩占60%;②实验课程成绩占15%;③平时成绩占5%;④综合性创新设计成绩占10%。

二、数字电路实验中存在的问题分析

数字集成芯片是在半导体表面上以CMOS门电路设计的现代化电子产品,由于CMOS门电路直接设计数字芯片时会出现时滞性、占用芯片面积、耗电量、结构复杂等一系列问题。而CMOS门电路的各子系统是利用与、或、非、同或、异或等逻辑门电路模拟化,同时实际设计的数字集成芯片内部电路图结构是无法看到的。因此,数字电路课程历来是学生感到“抽象”的课程。在数字电路实验课程方面,长期以来普遍利用74LS系列芯片实现理论课程上学到的触发器、译码器、选择器等组合逻辑电路,通过该实验可以提高学生的基本逻辑电路的功能及测试技能。但是,传统的数字电路实验教学主要存在以下弊端:①形式单一、方法呆板,虽然利用74LS系列芯片实现理论教学上学到的逻辑电路,但是不能完全解决学生对数字电路课程感到“抽象”的问题;②理论与实践脱节,在理论教学上,教师一般采用理论波形图来描述输入/输出信号之间的逻辑运算结果,一般不采用总线(Bus)波形图描述多位数的信号。在实验教学上,一般采用模拟开关描述二进制数的输入信号,并LED灯描述一位数的输出信号,因此,在理论和实验教学上学生没有机会接触实际数字集成芯片的设计和信息处理环境;③缺少互动性和创新性,学生自己提出某系统的逻辑控制及流程之后,利用基本的74LS系列芯片实现系统级别的数字系统时芯片的使用数量、输入信号的控制、输出信号的分析等会面临较大的困难,难以提高学生的积极性和创新性。

三、数字芯片设计在实验教学中的应用

在数字电路实验课程中,为了实现进一步系统化、程序化、可视化的实验,可以利用传统的实验课程和现代化的教学实验设备来完成。Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、Verilog HDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。利用Quartus II软件的原理图模块(Block Diagram/Schematic File),可以补充完成数字电路实验课程。数字电路实验课程设置方面,如果整个实验课程以16学时来计划,前8学时可以做传统的基于74LS系列芯片完的成硬件系统设计与测试实验,后8学时可以完成基于模块化方式的上机操作实验。其中,Quartus II软件安装与波形图分析占2学时,组合逻辑电路与全加期占2学时,选择器和译码器/编码器占2学时,综合设计实验占2学时。实验内容方面,首先让学生利用Quartus II软件的原理图模块(Block Diagram/Schematic File)设计相关逻辑电路图,利用Quartus II软件中的“功能仿真”功能验证所设计逻辑电路图结构是否正确,通过进一步修改和功能仿真过程验证逻辑电路图的结构设计。其次,建立时序图框架,设置时脉信号、清零信号和输入信号,通过Quartus II软件中的“时序仿真”功能验证输入/输出信号之间的连续性和正确性。同时可以利用FPGA开发板实现该系统,并利用逻辑分析仪验证FPGA输出信号的正确性。在上机实验过程中,学生应理解的内容主要包括五个方面。①针对某一个逻辑电路,在教材上说明的理论波形图、Quartus II软件仿真出来的波形图、逻辑分析仪实际仿真的波形图等3个图形之间为什么存在输出信号的延迟?②设计某系统时,基于C语言等软件系统设计和基于FPGA等硬件系统设计的优点和缺点是什么?③占用芯片的面积和耗电量大约多少?④原始的组合逻辑电路设计结果和卡诺图、布尔运算等方式简化之后,对集成芯片的运算速度、占用面积和耗电量差异多少?⑤理论课上没有提到的多位数的总线(Bus)信号怎么理解?

随着社会的跨越式发展,社会各行业对当代大学生的独创性、复合型要求越来越提高。相反,目前普遍存在培养出来的学生动手能力较弱,分析问题和解决问题的能力差,缺乏创新能力。本文基于2012年教育部高等教育司编辑出版的《普通高等学校本科专业目录和专业介绍》,提出了传统的数字电路实验教学当中存在的问题,并建立了一种软/硬件系统相结合的实践教学体系和实验方法。本文提出的实验计划及安排可以营造有利于学生的激发创新激情,挖掘学生创新潜能,充分发挥学生的独创性,为培养学生的创新能力提供强有力的支撑。

参考文献:

[1]中华人民共和国教育部高等教育司.普通高等学校本科专业目录和专业介绍[M].北京:高等教育出版社,2012:182-202.

[2]韩延义,赵全科.数字电路课程设计教学研究[J].中国科教创新导刊,2011,(17):103.

篇9

关键词:数字电子 开发板制作 教学改革 实训模块化

中图分类号:TN79-4 文献标识码:A 文章编号:1673-9795(2013)06(a)-0143-02

《数字电子技术》教学包括基础理论知识教学和技能实践教学两部分,是电类及相关专业必修课。数电课程中理论及实践与模电比较有较大差别,很多学生对课堂内容理解上有困难,教学效率不高。为了培养学生实践设计能力和提高教学效率,采用仿真软件与自制开发板相结合设计实践项目。实践证明,使用自制开发板及课程体系的模块化教学使理论知识更为感性,提高课堂效率,加强了理论的理解,巩固了技能。

1 课程特点与作用

对概念的理解和集成器件的应用是数字电子技术教学的两个侧重点。教学过程中引导学生运用已学知识自主独立创新设计电路,结合硬件更新,强化理论知识的理解和应用,奠定单片机及专业技能基础。当前数电教学存在教育思路与硬件技术发展速度不一致的现象,导致了学生的创新设计能力和应用能力不足,数字电子技术课程改革迫在眉睫。

2 内容结构调整及措施

2.1 课程内容结构调整

改变以往常规设计思路,采用模块法整合课程知识结构,合理设计每个模块综合实践项目。整合后课程模块体系如下。

首先,为基本理论模块体系,其内容为:逻辑基础、三大逻辑门、组合、时序电路等方面。该体系主要是加强电路分析以及逻辑思维方面能力训练。

其次,应用性模块体系,其内容为:常规集成器件应用、数字综合电路的设计与调试等。该体系主要是加强运用数字电路知识按要求设计电路方面能力训练。

再次,大规模集成电路应用体系,其内容为:VHDL语言、DSP和FPGA技术应用的基本理论、方法以及仿真软件的应用,该体系主要培养电路设计理念,为掌握运用不断出现的硬件新技术、新产品奠定基础。

2.2 授课课时及方式调整

该课程的教学大纲在修订上应该体现出现代数字电路的先进性,与此同时教学模式必须同步,做出相应的调整以便更好的优化课程的内容。在课时安排方面应合理分配三模块体系课时比例,前一个体系为后一体系基础,环环相扣。其次数字语言、DSP、FPGA技术在数字电路课程教学中可以介绍其简单使用方法,但为更好运用应另设为一门新课,其次要合理设计每个体系综合模块项目,使之内容上涵盖体系内相关知识,效果上可以用仿真软件实现其结果,实操上可以用自制开发板调试。真正做到软、硬同时进行真正做到知其然还自其所以然。

3 新授课方法及教学模式

3.1 课堂中自制开发板应用

当前各学校实验室使用数字电路实验设备为箱体式,不光体积大占用空间,更为遗憾的是可操作性小、设计性项目少,未为该课程学习带来实际效果,学生对知识的认识往往是一知半解。为此,结合课程教学特点以及实验的便利,作者开发了“数字电子设计开发板”(见图1),该板设计合理,制作简单、便携实用,巧妙的把模拟电路、数字电路、单片机融合一体,既简便实用,为后续单片机的学习奠定基础,开发板采用电池或者交流电供电,需提供的信号、频率测试等电路来源于模电中的基础实验。同时该板中配有简单的51系列单片机基本模块,可进行相关仿真使用。在教学中,一些基本电路,可直接在教室边教学边实践,合理的应用好该开发板,就能真正的做到“教、学、做”一体化。

3.2 综合设计项目在课堂多媒体教学中仿真

基于数字电子技术的发展迅猛、大规模集成电路不断涌现。为更好的运用各类硬件,在学习过程中应充分使用仿真软件模拟运行结果,不断在电脑中修改其外界属性,观其结果变化。从而更深刻的掌握器件使用。

图2为在PROTUES仿真软件下,通过改变R2参数,从而改变555输出频率,观其CD4017输出的带来的变化。两者结合起来很形象直观的让学生掌握555及4017的特性及使用方法。

3.3 数电课程中引入单片机入门学习

数字电路是为后续综合电路设计奠定基础,而目在综合应用电路大都采用单片机来实现,基于此单片机重要性可想而知。单片机可以实现大都数字电路同样的结果,而电路结构却极为简单。因此,在数电的教学与实践中逐步引入单片机基本概念,同一个效果采用不同的电路,让学生更深刻理解大规模集成电路的应用,图3为采用单片机来实现流水灯效果。该电路与采用十进制计数器CD4017构造流水效果一致,甚至可以设计更复杂效果,但电路却比后者简单。

4 结语

作者开发设计的“数字电路开发板”获国家授权发明专利,作者在教学实践中通过几期的教学改革,实践证明,取得了良好的效果,为数字电路教学改革做出以下几个方面成就:(1)为“教学一体化课堂”摸索了一条有效途径,令课堂教学更形象生动、内容丰富;(2)缓解了实验室紧张的局面,节约成本同时增加了学生动手综合设计机会,提高了实训效果;(3)为课外学习提供了良好的平台支持,丰富了学生课外课程设计生活,提高了学习效率;(4)为基础课程与专业课程前后建立有机联系,激发了学习单片机技术的热情。该课程的改革有利于综合技能的提高,同时“数字电路开发板”具有较好的价值和推广前景。

参考文献

[1] 钱燕,胡容华,刘国平.机电控制器类课程虚拟实验系统的研究与开发[J].实验技术与管理,2011,28(8):53-56.

[2] 孙宝文.高职汽车专业工学结合人才培养模式的实践[J].职业技术教育,2008(23):30-31.

[3] 冯建东,孙清,敖永华.高等学校实验教学改革的主要途径[J].沈阳农业大学学报,2006(7):76-78.

[4] 张娟,周荔.开展个性化实验教学的探索[J].陕西师范大学学报,2011,3(109):45-46.

[5] 陶为华.改革实验教学方法提高实验教学质量[J].内蒙古石油化工,2003(29):51-52.

[6] 王彩君,杨睿,葛茂茂.数字电路实验教学与综合素质能力的培养[J].实验科学与技术,云南大学,2006,10(5):14-17.

篇10

关键词:FPGA VHDL 模N计数器 数字电路实验教学

1.引言

随着微电子技术的高速发展,集成电路设计也不断向超大规模、超高速和低功耗的方向发展。传统数字电路课程设计在许多方面都滞后于现代数字电路设计形势的发展,如效率低、损耗大、电接触不稳定、实验装置缺乏稳定性和灵活性,成为创新和应用型人才培养的阻力,而FPGA具有设计技术齐全、效率高、易仿真、可移植性高等优点[1],通过对芯片的设计来完成大规模数字系统,可以很好地解决上述问题。

2.FPGA概述

2.1FPGA的概念。

FPGA(Field Programmable Gate Array)又称现场可编程门阵列是大规模集成电路技术发展的产物,属于ASIC(专用集成电路)器件中的一种,具有可编程的特性和实现方案容易改动等特点。FPGA采用的是SRAM(静态随机存储器)来构成逻辑函数发生器,一个N输入的LUT(可编程的最小逻辑构成单元)可以完成N个输入变量的逻辑功能,更适于完成触发器丰富的时序逻辑电路。在现代集成电路设计中,数字系统所占的比例越来越大,FPGA设计开发周期短、集成度高、设计制造成本低、开发工具先进,将发挥越来越重要的作用[2]。

2.2VHDL介绍。

利用系统可编程逻辑器件FPGA芯片进行数字系统设计时,是以硬件描述语言作为设计语言,目前最主要的硬件描述语言是:VHDL(Very High Speed Integrated Circuit HDL)和Verilog HDL。VHDL发展得较早,语法严格,主要利用软件编程的方式来描述数字系统的结构、数据流、行为。该语言具有功能强大的语言结构,具有多层次的设计描述功能,与传统的门级描述方式相比,它更适合大规模系统的设计。

3.在数字电路课程设计中引入FPGA的必要性

将FPGA引入数字电路课程设计中是一种全新的实验手段,可以不断修改电路和参数,及时观察输出结果,有效加深了学生对电子线路本质的理解,提高学生现代化电子设计能力,激发学习兴趣。在数字电路实验教学中引入FPGA有以下优势。

3.1实验项目增加,效率提高。

传统数字电路的实验项目较少并普遍采用的是常规逻辑器件连接起来构成不同功能的电路。由于电路板硬件决定了实验项目不能随意更改,功能单一,不利于学生综合电路设计能力的提高。较复杂的实验学生很难在2个课时内做完。采用FPGA技术,增加了综合性实验,学生只需学会EDA工具软件的使用方法,就可以在2个课时内完成更多的实验项目。

3.2实验难度降低,成功率提高。数字电路实验主要装置是面包板或实验箱。面包板连线时容易出现导线接触不良、线路干扰等不稳定的因素。实验箱虽然稳定,但实验使用的逻辑器件功能较为单一,难以实现复杂的数字电路。采用FPGA设计硬件电路,对于比较复杂的硬件实验,不必编写逻辑表达式和真值表,降低了设计难度,缩短了设计周期。也不必用通用的逻辑元器件来构成逻辑电路,而是直接用语言描述其功能,根据电路的不同需要自行设计专用功能模块,从而实现了“软”硬件设计,降低了研发成本。程序具有良好的可读性,支持对已有设计的再利用。并且电路的设计更加合理,提高了实验成功率,体积和功耗也大为减小。

3.3提高了学生的实践和动手能力。采用FPGA做数字电路实验,对同一电路模块的设计有了多种不同的计方案。如采用不同的门电路或者使用语言对电路的功能进行描述,得到功能模块。此模块还可被调用,使设计更具灵活性。

4.现场可编程门阵列在EDA设计中的应用实例

下面我以设计模为N的计数器电路课程设计为例,介绍使用FPGA在数字电路设计中新的设计思路。

在对计数器电路进行设计中,传统的电路设计是用集成计数器构成,如图1所示。

但是当模N比较大或者想改变N的值的时候,会感到物理硬件连接和改动起来非常麻烦,而利用FPGA的可编程的特性,采用VHDL可以方便快捷地实现任意模N的计数器,并且容易发现结构设计上的失误,提高了设计的成功率。

上述电路采用VHDL语言描述如下。

…………

由程序可以看出,利用模12计数器的程序,只需修改计数器的状态数,就可以实现任意模N计数器。通过上述电路设计的学习,学生逐渐学会用VHDL语言设计电路,体会到用VHDL语言来描述复杂的控制逻辑具有简洁明了、良好的可移植性,以及不依赖特定器件的优点。提高了学生自己研究问题和解决问题的能力,培养了学生的创新意识,取得了良好的教学效果。

5.结语

随着FPGA的普及和知识产权核IP日益重视,电子产品设计中的硬件将不再是主导因素,而是全面转向软设计,使得板级设计更加简单和模块化。为了培养能适应电子技术发展趋势的创新型和应用型人才,将FPGA技术引入数字电路实验教学中,能很好地锻炼学生的综合设计开发能力和动手能力,激发他们的学习兴趣,节约实验成本,提高教学质量和设计效率。因此,将FPGA技术应用于数字电路设计必将成为今后数字电路实验教学与课程设计教学改革的新方向。

参考文献:

[1]刘廷文,唐庆玉,段玉生.EDA技术是实现电工学研究型教学的良好手段[J].实验技术与管理,2006,23,(8):65-68.

[2]艾明晶,康光宇.EDA教学实验平台的设计与实现[J].计算机应用,2002,(10):23-24.